簡易檢索 / 詳目顯示

研究生: Quoc-Phong Pham
Quoc-Phong Pham
論文名稱: Study on Diamond Dressing for Non-Uniformity of Pad Surface Topography in CMP Process
Study on Diamond Dressing for Non-Uniformity of Pad Surface Topography in CMP Process
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 林榮慶
Zone-Ching Lin
趙崇禮
劉顯光
蔡曜陽
鄧建中
周大鑫
陳炤彰
Chao-Chang Chen
學位類別: 博士
Doctor
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2018
畢業學年度: 106
語文別: 英文
論文頁數: 162
中文關鍵詞: 鑽石修整拋光墊移除率運動模型力量模型化學機械平坦化拋光
外文關鍵詞: Diamond dressing, Pad cutting rate, Kinematic model, Force model, Chemical Mechanical Polishing, CMP
相關次數: 點閱:246下載:14
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 化學機械平坦化/拋光(CMP)製程已被廣泛用於製造積體電路(IC)。為了使製造IC的成本降低,晶圓的尺寸越來越大,CMP製程就需要使用夠大的拋光墊。較大的拋光墊尺寸會導致在CMP製程中,拋光墊表面形貌均勻性的控制問題。因此,需要開發鑽石修整器技術以保持拋光墊的形貌。本研究,鑽石顆粒、拋光墊表面形貌的變化和在修整時的鑽石顆粒的運動學及鑽石的反作用力的相關因素。探討鑽石修整的運動模型來預測拋光墊移除率(PCR)及拋光墊表面粗糙度,也開發了鑽石顆粒的反作用力模型。在運動模型中找到了修整器與拋光墊的轉速比。模擬拋光墊移除率(PCR)的非均勻度,拋光墊與修整器之間的切削軌跡分布、鑽石顆粒在拋光墊上的相對速度和接觸時間為重要的因素。交叉的切削模型也被開發來評估拋光墊表面粗糙度的分布。實驗結果顯示,修整的痕跡、拋光墊移除率(PCR)及表面粗糙度與模擬的趨勢一致,拋光墊最終的表面皆為凹狀。除此之外,反作用力模型研究基於幾何參數及鑽石顆粒刮削拋光墊表面時的運動情況。最後藉由滑痕的方向來估計鑽石顆粒表面反作用力分布。 本研究結果可以應用於CMP製成的拋光墊鑽石修整,此外也可延伸到設計鑽石修整器,並研究在半導體產業上最佳的鑽石修整製程


    Chemical Mechanical Planarization/Polishing (CMP) process has been widely applied on fabricating integrated circuits (IC). To achieve the tight specification in IC manufacture, the CMP process needs a polishing pad in control a uniformity of pad surface topography. Therefore, a diamond dressing technique needs to be developed to remain the polishing pad topography. This dissertation aims to investigate related factors between diamond grit and pad surface for the changing in the topography of pad surface including kinematic of diamond grit and reaction forces on diamond grit. A kinematic model of diamond dressing has been developed to predict the pad cutting rate (PCR) profile and pad surface roughness. In the kinematic model, the rotational speed ratio between the dresser and the pad has been found. The cutting locus distribution, relative velocity of grits on a pad surface and contact time between pad element and dresser have been described by simulations as significant factors for non-uniformity of PCR. The model of overlap cutting has also been developed to evaluate the distribution of pad surface roughness. Experimental results show that the dressing marks, PCR, and pad roughness follow the same trend as obtained by simulation. The final pad surface is caused as a concave under experimental current set-up of conditions. Besides that, the reaction force model from pad to diamond grit has been investigated based on geometric parameters and motions of diamond grit while scratching the pad surface. Finally, distribution of reaction forces on diamond grit can be estimated under effects of scratching direction of diamond grit and then compared with experimental data. Results of this study can be applied on diamond dressing of pads used in CMP and furthermore can be extended to design diamond dresser and investigate an optimal diamond dressing process for semiconductor fabrication

    Table of contents 摘要 iii Abstract iv Acknowledgement v Table of contents vi List of Figures ix List of Tables xiv Nomenclature xv Chapter 1 INTRODUCTION 1 1.1 Research background 1 1.2 Research objectives and scope 2 1.3 Framework and contribution of the chapters 2 Chapter 2 LITERATURE REVIEW 6 2.1 Role of diamond dressing in CMP process 6 2.2 Major components in diamond dressing process 7 2.2.1 Polishing pad 7 2.2.2 Diamond dresser 9 2.2.3 Dresser arm 12 2.3 Critical issues input of diamond dressing process 12 2.3.1 Relative velocity 12 2.3.2 Contact area 14 2.3.3 Contact pressure 15 2.4 Major process outputs in diamond dressing 17 2.4.1 Pad cutting rate 17 2.4.2 Pad surface roughness 19 2.5 Summary of literature review 21 Chapter 3 KINEMATIC MODELING OF DIAMOND DRESSING 25 3.1 Introduction of kinematic model 25 3.2 Geometric model for diamond dressing 26 3.3 Motion of a dresser on pad surface 28 3.4 Speed ratio between diamond dresser and polishing pad 31 3.5 Cutting locus of diamond grit on pad surface 35 3.6 Velocity of diamond grit on pad surface 35 3.7 Distribution of contact time between pad and dresser surface 41 3.7.1 Sliding angle distribution 41 3.7.2 Contact time distribution 44 3.8 Simulation of pad cutting rate 45 3.9 Experimental results and discussions of pad cutting rate 48 3.10 Summary of Chapter 3 55 Chapter 4 OVERLAP CUTTING AND PAD ROUGHNESS IN DIAMOND DRESSING 56 4.1 Introduction model of PCR and overlap cutting 56 4.2 Distribution of cutting length and pad cutting rate 57 4.3 Relation of overlap cutting and surface roughness 60 4.4 Simulation of pad cutting rate and overlap point 65 4.5 Experimental results and discussion of overlap cutting 74 4.6 Summary of Chapter 4 85 Chapter 5 MODEL OF REACTION FORCES ON DIAMOND GRIT IN DIAMOND DRESSING 86 5.1 Introduction of reaction forces in diamond dressing 86 5.2 Theoretical analysis 88 5.2.1 Pyramid geometry of diamond grit 88 5.2.2 Analysis of contact area 90 5.2.3 Reaction forces in diamond dressing 93 5.2.4 Simulation reaction force vs. machined depth 96 5.3 Experiment of reaction forces on K-Pad 98 5.3.1 Material preparation 98 5.3.2 Experimental set up of diamond dressing on K-Pad 99 5.4 Experimental results and analysis of reaction forces 101 5.4.1 Machined depth on the pad 107 5.4.2 Comparison between theoretical prediction and experiment results 111 5.5 Summary of Chapter 5 113 Chapter 6 CONCLUSION AND RECOMMENDATION 114 6.1 Conclusion 114 6.2 Recommendation 115 References 116 Appendix A Configuration and parameters of tools 131 Appendix B Equations of indented depth distribution 132 Appendix C Matlab programing for PCR 134 Appendix D Experimental data of PCR 140 Biography of Author 146

    References
    1. Park, B., Lee, H., Park, K., Kim, H., and Jeong, H., "Pad roughness variation and its effect on material removal profile in ceria-based CMP slurry," Journal of Materials Processing Technology, Vol. 203, No. 1-3, pp. 287-292, 2008.
    2. Jeong, H., Lee, H., Choi, S., Lee, Y., and Jeong, H., "Prediction of real contact area from microtopography on CMP pad," Journal of Advanced Mechanical Design, Systems, and Manufacturing, Vol. 6, No. 1, pp. 113-120, 2012.
    3. Wang, C., Sherman, P., Chandra, A., and Dornfeld, D., "Pad surface roughness and slurry particle size distribution effects on material removal rate in chemical mechanical planarization," Cirp Annals-Manufacturing Technology, Vol. 54, No. 1, pp. 309-312, 2005.
    4. Park, K.H., Kim, H.J., Chang, O.M., and Jeong, H.D., "Effects of pad properties on material removal in chemical mechanical polishing," Journal of Materials Processing Technology, Vol. 187, No., pp. 73-76, 2007.
    5. Yang, J.C., Oh, D.W., Kim, H.J., and Kim, T., "Investigation on surface hardening of polyurethane pads during chemical mechanical polishing (CMP)," Journal of Electronic Materials, Vol. 39, No. 3, pp. 338-346, 2010.
    6. Luo, J. and Dornfeld, D.A., "Material removal mechanism in chemical mechanical polishing: theory and modeling," Ieee Transactions on Semiconductor Manufacturing, Vol. 14, No. 2, pp. 112-133, 2001.
    7. Lee, H., Zhuang, Y., Sugiyama, M., Seike, Y., Takaoka, M., Miyachi, K., Nishiguchi, T., Kojima, H., and Philipossian, A., "Pad flattening ratio, coefficient of friction and removal rate analysis during silicon dioxide chemical mechanical planarization," Thin Solid Films, Vol. 518, No. 8, pp. 1994-2000, 2010.
    8. Mélinon, P., Masenelli, B., Tournus, F., and Perez, A., "Playing with carbon and silicon at the nanoscale," Nature Materials, Vol. 6, No. 7, pp. 479-490, 2007.
    9. Hong, H., Huang, Y.-L., and Chen, L.-J., "Kinematic analysis and measurement of temperature rise on a pad in chemical mechanical planarization," Journal of The Electrochemical Society, Vol. 146, No. 11, pp. 4236-4239, 1999.
    10. Kim, H. and Jeong, H., "Effect of process conditions on uniformity of velocity and wear distance of pad and wafer during chemical mechanical planarization," Journal of Electronic Materials, Vol. 33, No. 1, pp. 53-60, 2004.
    11. Liao, X., Yun, Z., Leonard, J.B., Jiang, C., Siannie, T., Toranosuke, A., and Ara, P., "Effect of pad surface micro-texture on removal rate during interlayer dielectric chemical mechanical planarization process," Japanese Journal of Applied Physics, Vol. 52, No. 1R, pp. 018001, 2013.
    12. Jeong, H.D., Park, K.H., and Cho, K.K., "CMP pad break-in time reduction in silicon wafer polishing," Cirp Annals-Manufacturing Technology, Vol. 56, No. 1, pp. 357-360, 2007.
    13. Park, K., Park, J., Park, B., and Jeong, H., "Correlation between break-in characteristics and pad surface conditions in silicon wafer polishing," Journal of Materials Processing Technology, Vol. 205, No. 1-3, pp. 360-365, 2008.
    14. Kim, H., Kim, H., Jeong, H., Seo, H., and Lee, S., "Self-conditioning of encapsulated abrasive pad in chemical mechanical polishing," Journal of Materials Processing Technology, Vol. 142, No. 3, pp. 614-618, 2003.
    15. Mcgrath, J. and Davis, C., "Polishing pad surface characterisation in chemical mechanical planarisation," Journal of Materials Processing Technology, Vol. 153, No., pp. 666-673, 2004.
    16. Chen, C.-C.A. and Pham, Q.-P., "Study on diamond dressing for non-uniformity of pad surface topography in CMP process," International Journal of Advanced Manufacturing Technology, Vol. 91, No. 9-12, pp. 3573-3582, 2017.
    17. Yoshiyuki, M., Takashi, H., and Masaharu, K., "Analysis of pad surface roughness on copper chemical mechanical planarization," Japanese Journal of Applied Physics, Vol. 47, No. 4, pp. 2083, 2008.
    18. Tso, P.-L. and Ho, S.-Y., "Factors influencing the dressing rate of chemical mechanical polishing pad conditioning," The International Journal of Advanced Manufacturing Technology, Vol. 33, No. 7-8, pp. 720-724, 2006.
    19. Zhang, Q.L., To, S., Zhao, Q.L., Guo, B., and Zhang, G.Q., "Impact of material microstructure and diamond grit wear on surface finish in micro-grinding of RB-SiC/Si and WC/Co carbides," International Journal of Refractory Metals & Hard Materials, Vol. 51, No., pp. 258-263, 2015.
    20. Goldstein, M. and Watanabe, M., "450 mm silicon wafers challenges-wafer thickness scaling," ECS Transactions, Vol. 16, No. 6, pp. 3-13, 2008.
    21. Tu, H., "450 mm Silicon Wafers Are Imperative for Moore's Law but maybe Postponed," Engineering, Vol. 1, No. 2, pp. 162-163, 2015.
    22. Hu, S.C., Huang, Z.Y., and Fu, B.R., "Experimental study on the moisture removal of a 450-mm FOUP during the purge or vacuum process," Applied Thermal Engineering, Vol. 108, No., pp. 951-957, 2016.
    23. Pei, Z.J., "A study on surface grinding of 300 mm silicon wafers," International Journal of Machine Tools & Manufacture, Vol. 42, No. 3, pp. 385-393, 2002.
    24. Jiao, Y.B., Liao, X.Y., Wu, C.H., Theng, S., Zhuang, Y., Sampurno, Y., Goldstein, M., and Philipossian, A., "Tribological, thermal and kinetic attributes of 300 vs. 450 mm chemical mechanical planarization processes," Journal of The Electrochemical Society, Vol. 159, No. 3, pp. H255-H259, 2012.
    25. Möller, H.-J., Wafer Processing. Handbook of Crystal Growth (Second Edition). Vol. 18. 2015, Boston: Elsevier. 715-755.
    26. Eusner, T., Saka, N., and Chun, J.H., "Breaking-in a pad for scratch-free, Cu chemical-mechanical polishing," Journal of The Electrochemical Society, Vol. 158, No. 4, pp. 379-389, 2011.
    27. Lee, H., Zhuang, Y., Borucki, L., Joh, S., O'moore, F., and Philipossian, A., "Investigation of pad staining and its effect on removal rate in copper chemical mechanical planarization," Thin Solid Films, Vol. 519, No. 1, pp. 259-264, 2010.
    28. Lawing, A.S. and Juras, C. Pad surface analysis and conditioning effects: implications on process design, break-in response and next generation pad and conditioning platforms. in International Conference on Planarization/CMP Technology. 2007. Dresden, Germany.
    29. Shin, C., Qin, H., Hong, S., Jeon, S., Kulkarni, A., and Kim, T., "Effect of conditioner load on the polishing pad surface during chemical mechanical planarization process," Journal of Mechanical Science and Technology, Vol. 30, No. 12, pp. 5659-5665, 2016.
    30. Lu, H., Fookes, B., Obeng, Y., Machinski, S., and Richardson, K.A., "Quantitative analysis of physical and chemical changes in CMP polyurethane pad surfaces," Materials Characterization, Vol. 49, No. 1, pp. 35-44, 2002.
    31. Lu, H., Obeng, Y., and Richardson, K.A., "Applicability of dynamic mechanical analysis for CMP polyurethane pad studies," Materials Characterization, Vol. 49, No. 2, pp. 177-186, 2002.
    32. Kim, B.S., Tucker, M.H., Kelchner, J.D., and Beaudoin, S.P., "Study on the mechanical properties of CMP pads," Ieee Transactions on Semiconductor Manufacturing, Vol. 21, No. 3, pp. 454-463, 2008.
    33. Prasad, A., Xiang, H., Wang, J., and Remsen, E.E., "Analysis of pre- and post-conditioned polyurethane CMP pad surfaces as a function of conditioning temperature," ECS Transactions, Vol. 3, No. 41, pp. 31-44, 2007.
    34. Muldowney, G.P. Modeling CMP transport and kinetics at the pad groove scale. in Materials Research Society symposium proceedings. 2004. Materials Research Society, Warrendale, U.S.A.
    35. Yeomans, R.D., Doi, T., Kinoshita, M., Suzuki, T., and Philipossian, A., "Effect of pad groove designs on the frictional and removal rate characteristics of ILD CMP," Journal of The Electrochemical Society, Vol. 152, No. 1, pp. 62-67, 2005.
    36. Lee, H., Lee, D., and Jeong, H., "Mechanical aspects of the chemical mechanical polishing process: A review," International Journal of Precision Engineering and Manufacturing, Vol. 17, No. 4, pp. 525-536, 2016.
    37. Stein, D., Hetherington, D., Dugger, M., and Stout, T., "Optical interferometry for surface measurements of CMP pads," Journal of Electronic Materials, Vol. 25, No. 10, pp. 1623-1627, 1996.
    38. Kim, S., Saka, N., and Chun, J.-H., "The effect of pad-asperity curvature on material removal rate in chemical-mechanical polishing," Procedia CIRP, Vol. 14, No., pp. 42-47, 2014.
    39. Shi, H. and Ring, T.A., "CMP pad wear and polish-rate decay modeled by asperity population balance with fluid effect," Microelectronic Engineering, Vol. 87, No. 11, pp. 2368-2375, 2010.
    40. Mu, Y., Zhuang, Y., Sampurno, Y., Wei, X.M., Ashizawa, T., Morishima, H., and Philipossian, A., "Effect of pad groove width on slurry mean residence time and slurry utilization efficiency in CMP," Microelectronic Engineering, Vol. 157, No., pp. 60-63, 2016.
    41. Kim, N.H., Seo, Y.J., and Lee, W.S., "Temperature effects of pad conditioning process on oxide CMP: Polishing pad, slurry characteristics, and surface reactions," Microelectronic Engineering, Vol. 83, No. 2, pp. 362-370, 2006.
    42. Baisie, E.A., Li, Z.C., and Zhang, X.H., "Design optimization of diamond disk pad conditioners," International Journal of Advanced Manufacturing Technology, Vol. 66, No. 9-12, pp. 2041-2052, 2013.
    43. Kim, Y.C. and Kang, S.J.L., "Novel CVD diamond-coated conditioner for improved performance in CMP processes," International Journal of Machine Tools & Manufacture, Vol. 51, No. 6, pp. 565-568, 2011.
    44. Sung, J.C. and Sung, M., "The brazing of diamond," International Journal of Refractory Metals & Hard Materials, Vol. 27, No. 2, pp. 382-393, 2009.
    45. Tsai, M.Y., Chen, S.T., Liao, Y.S., and Sung, J., "Novel diamond conditioner dressing characteristics of CMP polishing pad," International Journal of Machine Tools & Manufacture, Vol. 49, No. 9, pp. 722-729, 2009.
    46. Tsai, M.Y., "Polycrystalline diamond shaving conditioner for CMP pad conditioning," Journal of Materials Processing Technology, Vol. 210, No. 9, pp. 1095-1102, 2010.
    47. Shih, C.J., Lin, W.C., Lin, C.S., Ou, S.F., and Pan, Y.N., "Fabrication of diamond conditioners by using a micro patterning and electroforming approach," Microelectronic Engineering, Vol. 103, No., pp. 92-98, 2013.
    48. Oh, D.W. The study on keeping the pad surface condition in W CMP process. in ECS Meeting. 2016. The Electrochemical Society.
    49. Tsai, M.Y. and Chen, W.K., "Effect of CMP conditioner diamond shape on pad topography and oxide wafer performances," International Journal of Advanced Manufacturing Technology, Vol. 55, No. 1-4, pp. 253-262, 2011.
    50. Sun, T., Borucki, L., Zhuang, Y., and Philipossian, A., "Investigating the effect of diamond size and conditioning force on chemical mechanical planarization pad topography," Microelectronic Engineering, Vol. 87, No. 4, pp. 553-559, 2010.
    51. Ho, J.K., Tsai, C.H., Tsai, M.Y., and Yeh, T.S., "Novel method to remove tall diamond grits and improve diamond disk performance," International Journal of Advanced Manufacturing Technology, Vol. 75, No. 1-4, pp. 1-14, 2014.
    52. Shin, C., Jang, S., Qin, H., Yang, J., and Kim, T. Characteristic of pad cut rate as conditioner structure. in Proceedings of International Conference on Planarization/CMP Technology 2014. Kobe, Japan.
    53. Baisie, E.A., Li, Z., and Zhang, X., "Pad conditioning in chemical mechanical polishing: a conditioning density distribution model to predict pad surface shape," International Journal of Manufacturing Research, Vol. 8, No. 1, pp. 103-119, 2013.
    54. Yang, Z. and Sung, M., "New CMP pad conditioners with increased number of diamond tips for longer dressing life," ECS Transactions, Vol. 60, No. 1, pp. 595-602, 2014.
    55. Choi, J.H., Lee, Y.B., and Kim, B.K. CVD diamond-coated CMP polishing pad conditioner with asperity height variation. in International Conference on Planarization/CMP Technology (ICPT-2012). 2012. Grenoble, France.
    56. Hecker, R.L., Liang, S.Y., Wu, X.J., Xia, P., and Jin, D.G.W., "Grinding force and power modeling based on chip thickness analysis," The International Journal of Advanced Manufacturing Technology, Vol. 33, No. 5, pp. 449-459, 2007.
    57. Sharp, K.W., Miller, M.H., and Scattergood, R.O., "Analysis of the grain depth-of-cut in plunge grinding," Precision Engineering, Vol. 24, No. 3, pp. 220-230, 2000.
    58. Agarwal, S. and Rao, P.V., "A probabilistic approach to predict surface roughness in ceramic grinding," International Journal of Machine Tools & Manufacture, Vol. 45, No. 6, pp. 609-616, 2005.
    59. Young, H.T., Liao, H.T., and Huang, H.Y., "Novel method to investigate the critical depth of cut of ground silicon wafer," Journal of Materials Processing Technology, Vol. 182, No. 1-3, pp. 157-162, 2007.
    60. Khare, S.K. and Agarwal, S., "Predictive modeling of surface roughness in grinding," Procedia CIRP, Vol. 31, No., pp. 375-380, 2015.
    61. Sun, L., Yang, S.M., Yang, L., Zhao, P., Wu, P.F., and Jiang, Z.D., "A new model of grinding forces prediction for machining brittle and hard materials," 13th CIRP Conference on Computer Aided Tolerancing, Vol. 27, No., pp. 192-197, 2015.
    62. Hecker, R.L. and Liang, S.Y., "Predictive modeling of surface roughness in grinding," International Journal of Machine Tools & Manufacture, Vol. 43, No. 8, pp. 755-761, 2003.
    63. Chakrabarti, S. and Paul, S., "Numerical modelling of surface topography in superabrasive grinding," The International Journal of Advanced Manufacturing Technology, Vol. 39, No. 1-2, pp. 29-38, 2007.
    64. Yeh, H.M. and Chen, K.S., "Development of a pad conditioning simulation module with a diamond dresser for CMP applications," International Journal of Advanced Manufacturing Technology, Vol. 50, No. 1-4, pp. 1-12, 2010.
    65. Lee, H. and Lee, S., "Investigation of pad wear in CMP with swing-arm conditioning and uniformity of material removal," Precision Engineering, No., 2017.
    66. Tyan, F. Non-uniformity of wafer and pad in CMP: Kinematic aspects of view. in American Control Conference. 2005. Portland.
    67. Chang, O., Kim, H., Park, K., Park, B., Seo, H., and Jeong, H., "Mathematical modeling of CMP conditioning process," Microelectronic Engineering, Vol. 84, No. 4, pp. 577-583, 2007.
    68. Srivastava, G. and Higgs, C.F., "A full wafer-scale PAML modeling approach for predicting CMP," Tribology Letters, Vol. 59, No. 2, pp. 32, 2015.
    69. Zhao, D.W., He, Y.Y., Wang, T.Q., and Lu, X.C., "Effect of kinematic parameters and their coupling relationships on global uniformity of chemical-mechanical polishing," Ieee Transactions on Semiconductor Manufacturing, Vol. 25, No. 3, pp. 502-510, 2012.
    70. Zhang, F.H., Meng, B.B., Geng, Y.Q., and Zhang, Y., "Study on the machined depth when nanoscratching on 6H-SiC using Berkovich indenter: Modelling and experimental study," Applied Surface Science, Vol. 368, No., pp. 449-455, 2016.
    71. Patnaik Durgumahanti, U.S., Singh, V., and Venkateswara Rao, P., "A new model for grinding force prediction and analysis," International Journal of Machine Tools and Manufacture, Vol. 50, No. 3, pp. 231-240, 2010.
    72. Zhang, F., Meng, B., Geng, Y., Zhang, Y., and Li, Z., "Friction behavior in nanoscratching of reaction bonded silicon carbide ceramic with Berkovich and sphere indenters," Tribology International, Vol. 97, No., pp. 21-30, 2016.
    73. De Vathaire, M., Delamare, F., and Felder, E., "An upper bound model of ploughing by a pyramidal indenter," Wear, Vol. 66, No. 1, pp. 55-64, 1981.
    74. Suzuki, N., Hashimoto, Y., Yasuda, H., Yamaki, S., and Mochizuki, Y., "Prediction of polishing pressure distribution in CMP process with airbag type wafer carrier," Cirp Annals-Manufacturing Technology, Vol. 66, No. 1, pp. 329-332, 2017.
    75. Han, L., Zhao, H., Zhang, Q., Jin, M., Zhang, L., and Zhang, P., Research on influences of contact force in chemical mechanical polishing (CMP) process, in AIP Advances. 2015, American Institute of Physics. p. 041305.
    76. Li, Y.-T., "Study on quasi-orthogonal machining of elastomer pad by single-point diamond tool," MSc Thesis, Department of Mechanical Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan,, No., 2017.
    77. Tsai, M.Y. and Peng, J.D., "Investigation of a novel diamond disk's effect on pad topography in oxide chemical mechanical polishing," Materials and Manufacturing Processes, Vol. 25, No. 12, pp. 1440-1448, 2010.
    78. Preston, F., "The theory and design of plate glass polishing machines," Journal of Society of Glass Technology, Vol. 11, No., pp. 214-256, 1927.
    79. Cook, L.M., "Chemical processes in glass polishing," Journal of Non-Crystalline Solids, Vol. 120, No. 1, pp. 152-171, 1990.
    80. Luo, J. and Dornfeld, D.A., Review of CMP modeling, in Integrated modeling of chemical mechanical planarization for sub-micron IC fabrication: from particle scale to feature, die and wafer scales, Luo, J. and Dornfeld, D.A., Editors. 2004, Springer Berlin Heidelberg: Berlin, Heidelberg. p. 15-52.
    81. Toshi, K. and Bharat, B., "Physics and tribology of chemical mechanical planarization," Journal of Physics: Condensed Matter, Vol. 20, No. 22, pp. 225011, 2008.
    82. Lee, H., Park, Y., Lee, S., and Jeong, H., "Effect of wafer size on material removal rate and its distribution in chemical mechanical polishing of silicon dioxide film," Journal of Mechanical Science and Technology, Vol. 27, No. 10, pp. 2911-2916, 2013.
    83. Tseng, W.T., Chin, J.H., and Kang, L.C., "A comparative study on the roles of velocity in the material removal rate during chemical mechanical polishing," Journal of The Electrochemical Society, Vol. 146, No. 5, pp. 1952-1959, 1999.
    84. Castillo-Mejia, D. and Beaudoin, S., "A locally relevant Prestonian model for wafer polishing," Journal of The Electrochemical Society, Vol. 150, No. 2, pp. 96-102, 2003.
    85. Fan, C., Zhao, J., Zhang, L., Wong, Y.S., Hong, G.S., and Zhou, W.S., "Modeling and analysis of the material removal profile for free abrasive polishing with sub-aperture pad," Journal of Materials Processing Technology, Vol. 214, No. 2, pp. 285-294, 2014.
    86. Gadelmawla, E.S., Koura, M.M., Maksoud, T.M.A., Elewa, I.M., and Soliman, H.H., "Roughness parameters," Journal of Materials Processing Technology, Vol. 123, No. 1, pp. 133-145, 2002.
    87. Menezes, P.L. and Kailas, S.V., "Role of surface texture and roughness parameters on friction and transfer film formation when UHMWPE sliding against steel," Biosurface and Biotribology, Vol. 2, No. 1, pp. 1-10, 2016.
    88. Bikerman, J.J., "Surface roughness and sliding friction," Reviews of Modern Physics, Vol. 16, No. 3-4, pp. 324-324, 1944.
    89. Das, S.K., Schechter, R.S., and Sharma, M.M., "The role of surface-roughness and contact deformation on the hydrodynamic detachment of particles from surfaces," Journal of Colloid and Interface Science, Vol. 164, No. 1, pp. 63-77, 1994.
    90. Han, S., Kim, H.J., Hong, M.K., Kwon, B.H., Lee, K., and Ko, Y., "Effect of pad surface roughness on material removal rate in chemical mechanical polishing using ultrafine colloidal ceria slurry," Electronic Materials Letters, Vol. 9, No. 2, pp. 155-159, 2013.
    91. Duan, B., Zhou, J., Liu, Y., Wang, C., and Zhang, Y., "Investigation on surface roughness in chemical mechanical polishing of TiO2 thin film," Journal of Semiconductors, Vol. 35, No. 6, pp. 063003, 2014.
    92. Zhou, X. and Xi, F., "Modeling and predicting surface roughness of the grinding process," International Journal of Machine Tools & Manufacture, Vol. 42, No. 8, pp. 969-977, 2002.
    93. Kwon, T.Y., Ramachandran, M., Cho, B.J., Busnaina, A.A., and Park, J.G., "The impact of diamond conditioners on scratch formation during chemical mechanical planarization (CMP) of silicon dioxide," Tribology International, Vol. 67, No., pp. 272-277, 2013.
    94. Hocheng, H., Tsai, H.Y., and Tsai, M.S., "Effects of kinematic variables on nonuniformity in chemical mechanical planarization," International Journal of Machine Tools & Manufacture, Vol. 40, No. 11, pp. 1651-1669, 2000.
    95. Li, Z.C., Baisie, E.A., and Zhang, X.H., "Diamond disc pad conditioning in chemical mechanical planarization (CMP): A surface element method to predict pad surface shape," Precision Engineering-Journal of the International Societies for Precision Engineering and Nanotechnology, Vol. 36, No. 2, pp. 356-363, 2012.
    96. Li, Z.C., Baisie, E.A., and Zhang, X.H., "Diamond disc pad conditioning in chemical mechanical planarization (CMP): A surface element method to predict pad surface shape," Precision Engineering, Vol. 36, No. 2, pp. 356-363, 2012.
    97. Chen, Y., Zhang, L.C., Arsecularatne, J.A., and Zarudi, I., "Polishing of polycrystalline diamond by the technique of dynamic friction, part 3: Mechanism exploration through debris analysis," International Journal of Machine Tools & Manufacture, Vol. 47, No. 15, pp. 2282-2289, 2007.
    98. Nguyen, N.Y., Zhong, Z.W., and Tian, Y., "An analytical investigation of pad wear caused by the conditioner in fixed abrasive chemical–mechanical polishing," The International Journal of Advanced Manufacturing Technology, Vol. 77, No. 5-8, pp. 897-905, 2014.
    99. Yeh, H.-M. and Chen, K.-S., "Development of a pad conditioning simulation module with a diamond dresser for CMP applications," The International Journal of Advanced Manufacturing Technology, Vol. 50, No. 1, pp. 1-12, 2010.
    100. Chen, C.-C.A. and Pham, Q.-P. Kinematic model and simulation of pad dressing process by sweep arm mechanism. in Proceedings of cross-strait and Asia-Pacific chemical mechanical planarization conference. 2016. Taipei, Taiwan.
    101. Lee, S., Sukhoon, J., Kihyun, P., Hyoungjae, K., and Haedo, J., "Kinematical modeling of pad profile variation during conditioning in chemical mechanical polishing," Japanese Journal of Applied Physics, Vol. 48, No. 12R, pp. 126502, 2009.
    102. Nguyen, N.Y., Tian, Y.B., and Zhong, Z.W. Improvement of the pad wear shape in fixed abrasive chemical-mechanical polishing for manufacturing optical components. 2015.
    103. Lawing, A.S., "Pad conditioning and pad surface characterization in oxide chemical mechanical polishing," MRS Proceedings, Vol. 732, No., 2011.
    104. Seike, Y., Lee, H.-S., Takaoka, M., Miyachi, K., Amari, M., Doi, T., and Philipossian, A., "Development of a pad conditioning process for interlayer dielectric CMP using high-pressure micro jet technology," Journal of The Electrochemical Society, Vol. 153, No. 3, pp. G223-G228, 2006.
    105. Lee, S., Kim, H., Lee, H., and Jeong, H. Investigation on analysis and design of pad conditioning process in double side polishing. in ICPT 2012 - International Conference on Planarization/CMP Technology. 2012.
    106. Liu, Z., Mccormick, J., and Buley, T. Conditioner characterization and implementation for impacts of diamonds on CMP pad texture and performance. in Planarization/CMP Technology (ICPT), 2015 International Conference on. 2015. IEEE.
    107. Borucki, L.J., Witelski, T., Please, C., Kramer, P.R., and Schwendeman, D., "A theory of pad conditioning for chemical-mechanical polishing," Journal of Engineering Mathematics, Vol. 50, No. 1, pp. 1-24, 2004.
    108. Feng, T., "Pad conditioning density distribution in CMP process with diamond presser," Ieee Transactions on Semiconductor Manufacturing, Vol. 20, No. 4, pp. 464-475, 2007.
    109. Wang, C., Kang, R., Jin, Z., and Guo, D., "Effects of the reciprocating parameters of the carrier on material removal rate and non-uniformity in CMP," Journal of Semiconductors, Vol. 31, No. 12, pp. 126001, 2010.
    110. Simončič, S. and Podržaj, P., "An enhanced algorithm for estimation of a digitized curve length using B-splines," Measurement, Vol. 94, No., pp. 168-176, 2016.
    111. Agarwal, S. and Rao, P.V., "Modeling and prediction of surface roughness in ceramic grinding," International Journal of Machine Tools & Manufacture, Vol. 50, No. 12, pp. 1065-1076, 2010.
    112. Uhlmann, E., Koprowski, S., Weingaertner, W.L., and Rolon, D.A., "Modelling and simulation of grinding processes with mounted points: Part II of II - Fast modelling method for workpiece surface prediction," Procedia CIRP, Vol. 46, No., pp. 603-606, 2016.
    113. Liu, Y., Warkentin, A., Bauer, R., and Gong, Y., "Investigation of different grain shapes and dressing to predict surface roughness in grinding using kinematic simulations," Precision Engineering, Vol. 37, No. 3, pp. 758-764, 2013.
    114. Zhong, Z.W. and Venkatesh, V.C., "Recent developments in grinding of advanced materials," The International Journal of Advanced Manufacturing Technology, Vol. 41, No. 5, pp. 468, 2008.
    115. Zhang, J.-H., Wang, L.-Y., Tian, F.-Q., Zhao, Y., and Wei, Z., "Modeling study on surface roughness of ultrasonic-assisted micro end grinding of silica glass," The International Journal of Advanced Manufacturing Technology, Vol. 86, No. 1-4, pp. 407-418, 2015.
    116. Bini, D.A. and Marco, A., "Computing curve intersection by means of simultaneous iterations," Numerical Algorithms, Vol. 43, No. 2, pp. 151-175, 2006.
    117. Manocha, D. and Demmel, J., "Algorithms for intersecting parametric and algebraic curves II multiple intersections," Graphical Models and Image Processing, Vol. 57, No. 2, pp. 81-100, 1995.
    118. Manocha, D. and Krishnan, S., "Algebraic pruning: a fast technique for curve and surface intersection," Computer Aided Geometric Design, Vol. 14, No. 9, pp. 823-845, 1997.
    119. Pham, Q.-P. and Chen, C.-C.A., "Study on pad cutting rate and surface roughness in diamond dressing process," International Journal of Precision Engineering and Manufacturing, Vol. Accepted, No., 2017.
    120. Wang, P., Ge, P., Li, Z., Ge, M., and Gao, Y., "A scratching force model of diamond abrasive particles in wire sawing of single crystal SiC," Materials Science in Semiconductor Processing, Vol. 68, No., pp. 21-29, 2017.
    121. Xu, N., Han, W., Wang, Y., Li, J., and Shan, Z., "Nanoscratching of copper surface by CeO2," Acta Materialia, Vol. 124, No. Supplement C, pp. 343-350, 2017.
    122. Arvanitaki, A., Briscoe, B.J., Adams, M.J., and Johnson, S.A., "The friction and lubrication of elastomers," Tribology Series, Vol. 30, No., pp. 503-511, 1995.
    123. Friedrich, K., Lu, Z., and Hager, A.M., "Overview on polymer composites for friction and wear application," Theoretical and Applied Fracture Mechanics, Vol. 19, No. 1, pp. 1-11, 1993.
    124. Briscoe, B.J., Evans, P.D., Pelillo, E., and Sinha, S.K., "Scratching maps for polymers," Wear, Vol. 200, No. 1-2, pp. 137-147, 1996.
    125. Lafaye, S., Gauthier, C., and Schirrer, R., "Analysis of the apparent friction of polymeric surfaces," Journal of Materials Science, Vol. 41, No. 19, pp. 6441-6452, 2006.
    126. Chamani, H.R. and Ayatollahi, M.R., "Equivalent cone apex angle of Berkovich indenter in face-forward and edge-forward nanoscratch," Wear, Vol. 356-357, No., pp. 53-65, 2016.
    127. Zhou, L.R., Li, J.F., Li, F.Y., Meng, Q., Li, J., and Xu, X.S., "Energy consumption model and energy efficiency of machine tools: a comprehensive literature review," Journal of Cleaner Production, Vol. 112, No. 5, pp. 3721-3734, 2016.
    128. Mori, K., Kono, D., Yamaji, I., and Matsubara, A., "Modelling of viscoelastic damper support for reduction in low frequency residual vibration in machine tools," Precision Engineering-Journal of the International Societies for Precision Engineering and Nanotechnology, Vol. 50, No., pp. 313-319, 2017.

    QR CODE