簡易檢索 / 詳目顯示

研究生: 黃鈺儒
Yu-Ju Huang
論文名稱: 應用基因演算法於含次級解析輔助特徵圖案之光學鄰近修正技術
Optical Proximity Correction with Sub-Resolution Assist Features Based on Genetic Algorithm
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 郭永麟
Yung-Lin Kuo
方劭云
Shao-Yun Fang
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2018
畢業學年度: 106
語文別: 中文
論文頁數: 79
中文關鍵詞: 微影製程解析度增強技術光學鄰近修正次級解析輔助特徵
外文關鍵詞: Lithography, Resolution enhancement technology, Optical proximity correction, Sub-resolution assist feature
相關次數: 點閱:918下載:23
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於極紫外光微影系統技術開發上仍有困難,無法立即取代現有生產線上ArF-193nm浸潤式微影系統微影製程生產,因此吸引許多研究者尋找改善方案,其中可利用光源光罩最佳化程序搜尋最佳的光罩圖案設計與對應的最佳光源模態,進而改善微影成像品質的有效方法為本研究的研究方向。在本論文中,以光罩圖案最佳化為目標開發Interference Map Lithography Genetic Algorithm Optimization (IMLGAO)演算法。在IMLGAO中利用IM分佈圖(Interference Map)設計潛在的次級解析輔助特徵,並以MRC(mask rule checking)規則過濾所搜索次級解析輔助特徵是否合於製程規則。在計算主要光罩圖案對應之一組次級解析輔助特徵圖案後,對應此次級解析輔助特徵下利用PROLITH微影光學軟體進行主要光罩圖案之光學鄰近效應修正,以此為一組完整的光罩圖案組合,利用基因演算法搜尋最佳的一組次級解析輔助特徵與其所對應的主要光罩圖案之光學鄰近修正,如此程序持續至直到所設定的目標函數進入所設定的範圍。本論文所開發的演算法與傳統使用最佳化梯度降階法搜尋最佳次級解析輔助特徵圖案方法不同之處有三點:第一簡化光罩上次級解析輔助特徵圖案的複雜度使光罩成本降低,所開發IMLGAO設計之次級解析輔助特徵均為矩形。第二由不同製程參數下計算的邊緣位置誤差做為 IMLGAO 之個體環境適應度參數,故經IMLGAO選擇之光罩能確保製程視窗增加。第三除了IM分佈圖以外亦透過MRC 規則尋找潛在次級解析輔助特徵,故不需推導環境適應度參數與光罩間的關係做梯度運算,即可計算出次級解析輔助特徵之位置大小。本論文研究已成功開發浸潤式微影系統光學模型與IMLAGO演算程序,並利用所開發程序成功測試一維及二維圖案。


    Before the extreme ultraviolet lithography system solves the bottleneck for implementing in the semiconductor production line, many researchers are searching for solutions for improvement in the lithography process quality at the ArF-193nm immersion lithography systems. The source mask optimization (SMO) technique is well known for its capability to improve the quality of lithography imaging. This thesis aims to develop the interference map lithography genetic algorithm optimization (IMLGAO) for mask optimization (MO). In IMLGAO, an interference map is calculated to design possible sub-resolution assist features (SRAFs) and MRC (mask rule checking) rules are used to filter whether the searched SRAFs are compatible with process rules. Firstly, the main feature without correction is combined with the SRAF calculated by IMLGAO. Next to apply the optical proximity correction (OPC) to modify the main feature and find the corresponding SRAF based on the developed IMLGAO. The searching loop continues until the objective function into the setting range. The significance between the algorithm developed in this thesis and the conventional method for searching the best SRAFs: the complexity of the SRAFs based on the IMLGAO is reduced, the IMLGAO ensures the process window increased, the integrated MRC rule is to find the possible SRAFs based on the IM profile to avoid the complicated calculation. This thesis successfully developed the optical model of immersion lithography and IMLAGO. The developed procedure has been successfully tested on the two dimensional mask patterns.

    目錄 致謝 I 摘要 II Abstract III 目錄 IV 圖目錄 VI 表目錄 X 第一章 緒論 1 1.1 前言 1 1.2 研究動機 5 1.3 論文架構 8 第二章 微影系統光學模型 10 2.1 簡介 10 2.2 微影系統光學模型架構 10 2.3 解析度增強技術 23 2.4 次級解析輔助特徵之預測 26 第三章 含次級解析輔助特徵之光罩最佳化 30 3.1 簡介 30 3.2 基因演算法 30 3.3 最佳化程序設計 37 3.4 一維光罩之收斂性測試 49 第四章 二維光罩圖案最佳化 53 4.1 簡介 53 4.2 欲曝光之光罩及參數設定 53 4.3 成像品質量測 60 4.4 結論 69 第五章 結論 70 5.1 模擬結果之分析比較 70 5.2 本研究之貢獻 71 5.3 本研究之未來方向 72 參考文獻 73

    [1] Schaller, R. R., "Moore's law: past, present and future.", IEEE Spectrum, vol.34, no.6, pp 52-59.
    [2] "International Technology Roadmap for Semiconductors 2.0 Report", ed. 2013.
    [3] Z. Zhimin, J. Lowes, V. Krishnamurthy, and A. Riojas, "High-fidelity lithography," in IEEE CSTIC, 2015, pp. 1-4.
    [4] R. Voelkel, "Micro-optics: enabling technology for illumination shaping in optical lithography," in Proc. SPIE 9052, 2014, p. 90521U
    [5] M. van den Brink, "Continuing to shrink: Next-generation lithography – Progress and prospects," in IEEE ISSCC Digest of Technical Papers, 2013, pp. 20-25.
    [6] V. Singh, "Lithography at 14nm and beyond: Choices and challenges," in 48th ACM/EDAC/IEEE of DAC, 2011, pp. 459-459.
    [7] Mack, C., "Fundamental Principles of Optical Lithography: The Science of Microfabrication", Wiley.
    [8] C. A. Mack and J. D. Byers, "Exploring the capabilities of immersion lithography through simulation," in Proc. SPIE 5377, 2004, pp. 428-441.
    [9] Y. Wang et al., "High-refractive-index fluids for the next-generation ArF immersion lithography," in Proc. SPIE 6153, 2006, p. 61530A.
    [10] J. Mulkens, D. Flagello, B. Streefkerk, and P. Graeupner, "Benefits and limitations of immersion lithography," J. Micro/Nanolithogr., MEMS, MOEMS, vol.3, no. 1, pp. 104-114, Jan. 2004.
    [11] S. Mori, H. Aoyama, T. Ogata, R. Matsui, and T. Matsuyama, "Imaging application tools for extremely low-k1 ArF immersion lithography," in Proc. SPIE 8683, 2013, pp. 86830A.
    [12] S. Wurm, "Transition to EUV lithography," in 2012 Int. Symp. on VLSI TSA, 2012, pp. 1-2.
    [13] J. Mulkens et al., "Across scanner platform optimization to enable EUV lithography at the 10-nm logic node," in Proc. SPIE 9048, 2014, p. 90481L.
    [14] C. Wagner and N. Harned, "EUV lithography: Lithography gets extreme," Nature Photonics, vol. 4, no. 1, pp. 24-26, Jan. 2010.
    [15] O. Wood et al., "EUV lithography at the 22nm technology node," in Proc. SPIE 7636, 2010, p. 76361M.
    [16] Y. Ekinci, M. Vockenhuber, N. Mojarad, and D. Fan, "EUV resists towards 11nm half-pitch," in Proc. SPIE 9048, 2014, p. 904804.A
    [17] T. Vandeweyer et al., "Patterning challenges in setting up a 16nm node 6TSRAM device using EUV lithography," in Proc. SPIE 7969, 2011, p. 79691K
    [18] P. Birgit, L. Andreas, K. Eugenie, D. Christian, and E. Yasin, "Sub-10 nm patterning using EUV interference lithography," Nanotechnology, vol. 22, no. 37, p. 375302, Aug. 2011.
    [19] O. T. Ghalehbeygi, G. Berriman, A. J. Fleming, and J. L. Holdsworth, "Optimization and simulation of exposure pattern for scanning laser lithography," in 2015 IEEE Conf. on Control Applications, 2015, pp. 1868-1873.
    [20] D. O. S. Melville et al., "Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems," J. Vac. Sci. Technol. B, vol. 29, no. 6, pp. 06FH04 1-14, Nov. 2011.
    [21] A. Sagiv et al., "Aerial imaging for source mask optimization: mask and illumination qualification," in Proc. SPIE 7488, 2009, p. 74880Z.
    [22] Y. Granik, "Solving inverse problems of optical microlithography," in Proc. SPIE 5754, 2005, pp. 506-526.
    [23] S. Kapasi, S. Robertson, J. Biafore, and M. D. Smith, "Source-mask selection using computational lithography incorporating physical resist models," in Proc. SPIE 7275, 2009, p.72750W.
    [24] M. Kawashima, K. Yamazoe, Y. Sekine, M. Hakko, M. Ohta, and T. Honda, "Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique," in Proc. SPIE 6924, 2008, p. 69240F.
    [25] E. Hendrickx, A. Tritchkov, K. Sakajiri, Y. Granik, M. Kempsell, and G. Vandenberghe, "Hyper-NA imaging of 45nm node random CH layouts using inverse lithography," in Proc. SPIE 6924, 2008, p. 69240L.
    [26] K. Yamazoe, Y. Sekine, M. Kawashima, M. Hakko, T. Ono, and T. Honda, "Resolution enhancement by aerial image approximation with 2D-TCC," in Proc. SPIE 6730, 2007, p. 67302H.
    [27] S.-K. Kim, "Aerial image formation of quantum lithography for diffraction limit," Curr. Appl. Phys., vol. 12, no. 6, pp. 1566-1574, Nov. 2012.
    [28] P. Gong, S. Liu, W. Lv, and X. Zhou, "Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels," J. Vac. Sci. Technol. B, vol. 30, no. 6, p. 06FG03, Nov. 2012.
    [29] Y. Watanabe, T. Kimura, T. Matsunawa, and S. Nojima, "Accurate lithography simulation model based on convolutional neural networks," in Proc. SPIE 10147, 2017, p. 101470K.
    [30] A. E. Rosenbluth, "Decomposition of the TCC using non-coherent kernels for faster calculation of lithographic images," in Proc. SPIE 10147, 2017, p.101470P.
    [31] W. B. Howard and C. A. Mack, "Accurate aerial image simulation using highresolution reticle inspection images," in Proc. SPIE 5835, 2005, pp. 89-98.
    [32] T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, "SOCS based post-layout optimization for multiple patterns with light interference prediction," in Proc. SPIE 10148, 2017, p. 101480A.
    [33] L. Wu et al., "Building block style recipes for productivity improvement in OPC, RET and ILT flows," in Proc. SPIE 9781, 2016, p. 978116.
    [34] R.-H. Kim et al., "Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs," in Proc. SPIE 9776, 2016, p. 97761R.
    [35] N. Zeggaoui et al., "Enabling the 14nm node contact patterning using advanced RET solutions," in Proc. SPIE 9661, 2015, p. 96610Q.
    [36] S. Hsu et al., "EUV resolution enhancement techniques (RETs) for k1 0.4 and below," in Proc. SPIE 9422, 2015, p. 94221I.
    [37] Y. Ping et al., "Process window enhancement using advanced RET techniques for 20nm contact layer," in Proc. SPIE 9052, 2014, p. 90521N.
    [38] H.-Y. Sim et al., "Study of various RET for process margin improvement in 3Xnm DRAM contact," in Proc. SPIE 7973, 2011, p. 79732N.
    [39] A. D. Dave and R.-H. Kim, "Pushing the limits of RET with different illumination optimization methods," in Proc. SPIE 7274, 2009, p. 72741C.
    [40] X. Ma and Y. Li, "Resolution enhancement optimization methods in optical lithography with improved manufacturability," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 10, no. 2, p. 023009, May 2011.
    [41] C. Wang, N. Chen, C. Kallingal, W. Wilkinson, J. Liu, and A. Leslie, "Using heuristic optimization to set SRAF rules," in Proc. SPIE 10147, 2017, p. 1014706.
    [42] J. Song et al., "The new OPC method for obtaining the stability of MBAF OPC," in Proc. SPIE 10148, 2017, p. 1014813.
    [43] Y. Du, "The pattern-matching based OPC approach for preemptively fixing the weak points," in Proc. SPIE 10147, 2017, p. 101471H.
    [44] X. Ma, S. Jiang, J. Wang, B. Wu, Z. Song, and Y. Li, "A fast and manufacturefriendly optical proximity correction based on machine learning," Microelectron. Eng., vol. 168, pp. 15-26, Jan. 2017.
    [45] H. J. Levinson, Principles of Lithography. Society of Photo Optical, 2010.
    [46] A. K. K. Wong, Resolution Enhancement Techniques in Optical Lithography. SPIE Press, 2001.
    [47] M. D. Prouty and A. R. Neureuther, "Optical Imaging with Phase Shift Masks," in Proc. SPIE 0470, 1984, pp. 228-232.
    [48] P. Jung-ho, H. Hyun-ji, J. Yong-hwan, M. In-kyu, J. Chang-hwan, and L. Yeonho, "Study on the use of RET for improvement of dof in sub-via contact holes to severe topography," in 28th Int. Conf. on MIEL, 2012, pp. 103-106.
    [49] Y. Shim et al., "Patterning of 90nm node flash contact hole with assist feature using KrF," in Proc. SPIE 7488, 2009, p. 748837.
    [50] L. Yu et al., "Effective solution for the 14nm node multiple patterning lithography," in IEEE CSTIC, 2016, pp. 1-4.
    [51] K. Xianhua, L. Wen, and L. Shiyuan, "Ant colony algorithm for layout decomposition in double/multiple patterning lithography," in IEEE CSTIC, 2015, pp. 1-3.
    [52] K. Tian et al., "Applicability of global source mask optimization to 22/20nm node and beyond," in Proc. SPIE 7973, 2011, p. 79730C.
    [53] L. Yansong et al., "Enlarge the process window of patterns in 22nm node by using mask topography aware OPC and SMO," in IEEE CSTIC, 2015, pp.1-4.
    [54] M. Tawada et al., "Fast source optimization by clustering algorithm based on lithography properties," in Proc. SPIE 9427, 2015, vol. 9427, p. 94270K.
    [55] X. Ma, L. Dong, C. Han, J. Gao, Y. Li, and G. R. Arce, "Gradient-based joint source polarization mask optimization for optical lithography," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 14, no. 2, p. 023504, May 2015.
    [56] X. Wu, S. Liu, J. Li, and E. Y. Lam, "Efficient source mask optimization with Zernike polynomial functions for source representation," Opt. Express, vol. 22, no. 4, pp. 3924-3937, Feb. 2014.
    [57] Ma, X. and G. R. Arce, "Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography." Optics Express, vol. 17, no. 7, pp. 5783-5793.
    [58] Jia, N. and E. Y. Lam, "Pixelated source mask optimization for process robustness in optical lithography." Optics Express, vol. 19, no. 20, pp. 19384-19398.
    [59] Peng, Y., et al., "Gradient-Based Source and Mask Optimization in Optical Lithography." IEEE Transactions on Image Processing, vol. 20, no. 10, pp. 2856-2864.
    [60] Ma, X., et al., "Pixelated source and mask optimization for immersion lithography." Journal of the Optical Society of America A, vol. 30, no. 1,pp 112-123.
    [61] Broeke, D. J. V. D., et al., "Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node.", in Proc. SPIE 5446 , 2004, pp. 550-559
    [62] Shieh, J., et al., "A proposal for the contact hole assist feature printing checker in IML.", in Proc. SPIE 5853 ,2005, pp. 672-677
    [63] Socha, R. J., et al., "Contact hole reticle optimization by using interference mapping lithography (IML)." in Proc. SPIE 5446, 2004, pp. 516-534
    [64] Socha, R., et al., "Simultaneous source mask optimization (SMO).", in Proc. SPIE 5853, 2005, pp. 180-193

    QR CODE