簡易檢索 / 詳目顯示

研究生: 薛慶堂
Ching-Tang Hsueh
論文名稱: 化學機械拋光之拋光墊性能分析與平坦化加工研究
Analysis on Pad Performances and Planarization Effects for CMP
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 林原慶
Yuan-Ching Lin
蔡志成
Jhy-Cherng Tsai
鄭裕隆
Yu-Lung Jeng
許厲生
Li-Sheng Hsu
學位類別: 碩士
Master
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2011
畢業學年度: 99
語文別: 中文
論文頁數: 163
中文關鍵詞: 化學機械平坦化碎形圖案拋光墊拋光墊分析拋光墊溝槽
外文關鍵詞: CMP, fractal pattern, pad, pad analysis, pad groove
相關次數: 點閱:303下載:40
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 拋光墊的物性和表面性質會強烈的影響到平坦化加工的效果和效率。除了物性和表面性質外,拋光墊的溝槽設計亦是重要的一環。良好的溝槽圖案具有增進拋光液的利用率、調整拋光液層、排除平坦化後的殘餘物和調整拋光墊的物性等功用。然而隨著半導體製程要求越來越嚴苛,傳統幾何的溝槽設計將越來越難滿足未來高精度和高效率的要求。本研究奠基於對傳統拋光墊的表面性質分析,並引用承載比參數和因子。依此設計一款具有碎形微溝槽圖案的拋光墊。碎形微溝槽的目的在於減少修整需求並增進拋光液儲存率來提高平坦化效率。經由Oxide CMP顯示碎形圖案拋光墊在低下壓力,因具有高的表面承載因子(Sbi),而可有43 nm/min的移除率。於Cu CMP之中,則顯示了碎形圖案拋光墊可大幅減少圖案晶圓中的Dishing和Erosion現象,從50nm降至20nm,提高製程良率。研究結果顯示,碎形圖案拋光墊可部份取代微結構來傳輸和儲存拋光液而可省略修整步驟來提高製程效率。未來可進一步應用此結果於3D-IC等需高效率CMP之製程。


    The properties and surface textures of the pads would strongly affect the CMP efficiency. Moreover, the grooving design on the pad is an important consideration in pad design. The good grooving design would have several advantages to the CMP process, such as enhance the slurry utility, adjust the slurry film between the wafer and pad, remove the debris from the process and adjust the pad properties. However, the conventional grooving design would not satisfy the process when the process move more and more advancement and require the higher precision and efficiency. In this study, the pad surface would be defined by the bearing ratio parameters and indices, from the analysis of the conventional pads. Base on this analysis, a micro fractal pattern would apply to the pad surface. The purposes of fractal pattern are to reduce the demand of dressing and to enhance the planar efficiency. Experiment results show that the fractal pattern pad could have higher removal rate under the low down-force condition in the Oxide CMP because it has a higher surface bearing index. Moreover, the fractal pattern pad would reduce the dishing and erosion defects when polishing the Cu-patterned wafer. Those processes has verified that the fractal pattern pad can work well to enhance the process efficiency and without dressing. Further study can focus on the TSV-CMP applications for 3D-IC.

    目錄 摘要 III Abstract V 致謝 VII 目錄 IX 圖目錄 XV 表目錄 XXI 第一章 緒論 1 1.1 研究背景 1 1.2 研究目的與方法 8 1.3 論文架構 10 第二章 文獻回顧 12 2.1 拋光墊(Pad)與溝槽設計 12 2.1.1 拋光墊(Pad) 12 2.1.2 拋光墊溝槽設計 13 2.2 CMP製程介紹 22 2.2.1 ILD CMP 22 2.2.2 Tungsten CMP 23 2.2.3 STI CMP 23 2.2.4 Copper CMP 24 2.2.5 Others CMP Technology 25 2.3 CMP機台 31 2.2.1 旋轉式CMP機台(Rotary type) 31 2.3.2 軌道式CMP機台(Orbital type) 32 2.3.3 直線式CMP機台(Linear type) 32 2.4 其它CMP耗材 35 2.4.1 拋光液(Slurry) 35 2.4.2 修整器(Dresser) 37 2.4.3 CMP洗淨耗材 38 2.5 碎形原理與應用 43 2.5.1 碎形原理介紹 43 2.5.2 碎形應用 43 2.6 相關國內外專利分析 46 2.6.1 相關國內專利 46 2.6.2 相關國外專利 49 2.7 文獻回顧總結 54 第三章 拋光墊特性分析與新式拋光墊設計 56 3.1 拋光墊靜態分析 56 3.1.1 拋光墊機械性質 56 3.1.2 電子顯微鏡(SEM)觀察拋光墊微結構 59 3.1.3 雷射掃描共焦顯微鏡觀察拋光墊 60 3.2 承載比(Bearing ratio)分析拋光墊 70 3.2.1 承載比(Bearing ratio)分析 70 3.2.2 承載比參數因子分析 71 3.3 拋光墊動態分析 77 3.3.1 拋光液分佈微距觀察 77 3.3.2 移除率驗證實驗 78 3.4 新式碎形圖案拋光墊設計 85 3.4.1 碎形圖案的選用 85 3.4.2 碎形圖案尺寸的選用 86 3.5 拋光墊特性總結 90 3.5.1 拋光墊物性總結 90 3.5.2 拋光墊溝槽總結 91 第四章 實驗規劃與設備 93 4.1 實驗規劃 93 4.1.1 CMP 實驗 93 4.1.2 各製程實驗流程、參數和實驗系統圖 94 4.2 實驗設備 97 4.2.1 拋光機 97 4.2.2 鑽石修整器 97 4.2.3 雷射雕刻機 97 4.3 實驗耗材 100 4.3.1 碎形圖案拋光墊 100 4.3.2 晶圓 100 4.3.3 拋光液 101 4.4 實驗量測設備 109 4.4.1 原子力顯微鏡(Atomic force microscope) 109 4.4.2 四點探針 109 4.4.3 探針式表面輪廓儀 109 4.4.4 電子顯微鏡 110 4.4.5 雷射掃描共焦顯微鏡 110 4.4.6 X-Ray低掠角繞射儀 110 第五章 實驗結果與討論 114 5.1 碎形圖案拋光墊分析結果與討論 114 5.2 Oxide CMP結果與討論 119 5.3 Copper CMP結果與討論 122 5.3.1 銅薄膜移除率和均勻性 122 5.3.2 銅薄膜表面品質結果與討論 123 5.3.3 薄膜殘留應力探討 124 5.4 平坦化效能分析與討論 129 5.5 碎形圖案拋光墊磨耗討論 133 5.6 實驗結果與討論總結 135 第六章 結論與建議 137 6.1 結論 137 6.2 建議 138 參考文獻 139 附錄 153 附錄A SEMATECH- 854AZ 詳細圖案示意圖[79] 153 附錄B D型拋光液COA表 154 附錄C Oxide CMP量測資料 155 附錄D 銅薄膜平坦化製程結果 156 附錄E 圖案晶圓平坦化後圖案輪廓變化 159 作者簡介 163

    參考文獻
    [1] P. B. Zantye,A. Kumar and A. K. Sikder,"Chemical mechanical planarization for microelectronics applications",Materials Science and Engineering R: Reports,Vol.45 (2004)
    [2] H. Xiao,Trans.,羅正忠 and 張鼎張,半導體製程技術討論 (Introduction to Semiconductor Manufacturing Technology),儒林圖 書,2009。
    [3] 枋明輝,「無磨料電化學機械加工在銅薄膜平坦化製程之研究 (Research on Abrasive Free Electrochemical Mechanical Polishing (AF-ECMP) Process of Copper Film)」,國立台灣科技大學,機械 工程系碩士論文,2009。
    [4] 蔡騰群,"CMP Process Development for the Integration of HK/MG and 3D-TSV Application",CMPUG-TW Spring Workshop,新竹 (2010)
    [5] 王建榮、林必宨 and 林慶福,半導體平坦化CMP技術(Global Planariztion Chemical Mechanical Polishing),全華圖書公司,2000。
    [6] 許厲生,「矽晶圓薄化與平坦化加工研究(Research on Silicon Wafers Thinning and Planarization)」,國立台灣科技大學,機械工 程系博士論文,2007。
    [7] L. M. Cook,"Chemical processes in glass polishing",Journal of Non-Crystalline Solids,Vol.120 (1990)
    [8] Z. W. Zhong,Z. F. Wang and B. M. P. Zirajutheen,"Chemical mechanical polishing of polycarbonate and poly methyl methacrylate substrates",Microelectronic Engineering,Vol.81 (2005)
    [9] A. Philipossian and E. Mitchell,"Slurry Utilization Efficiency Studies in Chemical Mechanical Planarization",The Japan Society of Applied Physics,Vol.767 (2003)
    [10] G. P. Muldowney and D. B. James,"Characterization of CMP pad surface texture and pad-wafer contact",Advances in Chemical-Mechanical Polishing,Vol.816 (2004)
    [11] H. Kim,B. Park,S. Lee,H. Jeong and D. A. Dornfeld,"Self-conditioning fixed abrasive pad in CMP",Journal of the Electrochemical Society,Vol.151 (2004)
    [12] Y. Sampurno,L. Borucki,Y. Zhuang,S. Misra,K. Holland, D. Boning and A. Philipossian,"Characterization of thermoset and thermoplastic polyurethane pads,and molded and non-optimized machined grooving methods for oxide chemical mechanical planarization applications",Thin Solid Films,Vol.517 (2009)
    [13] S. Lee and D. A. Dornfeld,"Micro feature pad development and its performance in chemical mechanical planarization",Advances in Chemical-Mechanical Polishing,Vol.816 (2004)
    [14] M. Moinpour,A. Tregub,A. Oehler and K. Cadien,"Advances in characterization of CMP consumables",MRS Bulletin,Vol.27 (2002)
    [15] D. Rosales-Yeomans,T. Doi,M. Kinoshita,T. Suzuki and A. Philipossian,"Effect of pad groove designs on the frictional and removal rate characteristics of ILD CMP",Journal of the Electrochemical Society,Vol.152 (2005)
    [16] T. K. Doy,K. Seshimo,K. Suzuki,A. Philipossian and M. Kinoshita,"Impact of Novel Pad Groove Designs on Removal Rate and Uniformity of Dielectric and Copper CMP",Journal of the Electrochemical Society,Vol.151 (2004)
    [17] L. Borucki,L. Charns and A. Philipossian,"Analysis of frictional heating of grooved and flat CMP polishing pads",Journal of the Electrochemical Society,Vol.151 (2004)
    [18] J. F. Lin,S. C. Chen,Y. L. Ouyang and M. S. Tsai,"Analysis of the tribological mechanisms arising in the chemical mechanical polishing of copper-film wafers when using a pad with concentric grooves", Journal of Tribology,Vol.128 (2006)
    [19] G. P. Muldowney,"Modeling CMP transport and kinetics at the pad groove scale",Materials research Society symposium Proceeding,Vol.816 (2004)
    [20] G. P. Muldowney,"On the relationship of CMP wafer nanotopography to groove-scale slurry transport", Chemical-Mechanical Planarization Integration,Technology and Reliability,Vol.867 (2005)
    [21] Y. C. Wang and T. S. Yang,"Effects of pad grooves on chemical mechanical planarization",Journal of the Electrochemical Society,Vol.154 (2007)
    [22] D. Rosales-Yeomans,D. DeNardis,L. Borucki,T. Suzuki and A. Philipossian,"Analysis of pads with slanted grooves for copper CMP",Journal of the Electrochemical Society,Vol.155 (2008)
    [23] G. Nanz and L. E. Camilletti,"Modeling of chemical-mechanical polishing: a review",IEEE Transactions on Semiconductor Manufacturing,Vol.8 (1995)
    [24] W. Li,D. W. Shin,M. Tomozawa and S. P. Murarka,"The effect of the polishing pad treatments on the chemical-mechanical polishing of SiO2 films",Thin Solid Films,Vol.270 (1995)
    [25] W. S. Lee,S. Y. Kim,Y. J. Seo and J. K. Lee,"An optimization of tungsten plug chemical mechanical polishing (CMP) using different consumables",Journal of Materials Science: Materials in Electronics,Vol.12 (2001)
    [26] W. T. Tseng,Y. L. Wang and J. Niu,"Microstructure-related resistivity change after chemical-mechanical polish of Al and W thin films",Thin Solid Films,Vol.370 (2000)
    [27] T. Oishi,K. Shiozawa,A. Furukawa,Y. Abe and Y. Tokuda, "Isolation edge effect depending on gate length of MOSFET's with .various isolation structures",IEEE Transactions on Electron Devices,Vol.47 (2000)
    [28] B. Park,H. Lee,K. Park,H. Kim and H. Jeong,"Pad roughness variation and its effect on material removal profile in ceria-based CMP slurry",Journal of Materials Processing Technology,Vol.203 (2008)
    [29] V. R. Gorantla,R. Venigalla,L. Economikos,D. R. O'Connor and S. V. Babu,"Study of pattern density effects in CMP using fixed abrasive pads",Journal of the Electrochemical Society,Vol.150 (2003)
    [30] A. R. Sethuraman,J. F. Wang and L. M. Cook,"Review of planarization and reliability aspects of future interconnect materials", Journal of Electronic Materials,Vol.25 (1996)
    [31] J. Izumitani,D. Kodama,S. Kido,H. Chibahara,Y. Oka,K. Goto,N. Suzumura,M. Fujisawa and H. Miyatake,"Cu dual-damascene interconnects with direct chemical mechanical polishing process on porous low-k film",Japanese Journal of Applied Physics,Vol.49 (2010)
    [32] J. H. Wu,J. A. Del Alamo and K. A. Jenkins,"A high aspect-ratio silicon substrate-via technology and applications: Through-wafer interconnects for power and ground and faraday cages for SOC isolation",2000 IEEE International Electron Devices Meeting,San Francisco,CA (2000)
    [33] L. W. S. Vincent,N. Khan,L. Ebin,S. W. Yoon and V. Kripesh,"Cu via exposure by backgrinding for TSV applications”,9th Electronics Packaging Technology Conference,EPTC 2007,Singapore,(2007)
    [34] S. M. Zuniga,"Carrier head with a flexible membrane and adjustable edge pressure",US Patent 6,244,942 B1,(2001)
    [35] 宋健民,"多晶鑽石刨平器:拋光墊的精密修整及硬脆材料的延性切削",機械工業雜綕,Vol.278 (2006)
    [36] W. J. Patrick,W. L. Guthrie,C. L. Standley and P. M. Schiable, "Application of chemical mechanical polishing to the fabrication of VLSI circuit interconnections",Journal of the Electrochemical Society,Vol.138 (1991)
    [37] J. Yi,"Friction modeling in linear chemical-mechanical planarization",IEEE Control Systems Magazine,Vol.28 (2008)
    [38] H. M. Wang,G. Moloney,M. Stella and S. DeGuzman,"Improvement of wafer edge profile and CMP performance through the floating head design",Chemical-Mechanical Polishing 2000-Fundamentals and Materials Issues,Vol.613 (2000)
    [39] E. Matijević and S. V. Babu,"Colloid aspects of chemical-mechanical planarization",Journal of Colloid and Interface Science,Vol.320 (2008)
    [40] T. A. Ring,P. Feeney,D. Boldridge,J. Kasthurirangan,S. Li and J. A. Dirksen,"Brittle and ductile fracture mechanics analysis of surface damage caused during CMP",Journal of the Electrochemical Society,Vol.154 (2007)
    [41] Y. J. Seo,S. Y. Kim,Y. O. Choi,Y. T. Oh and W. S. Lee,"Effects of slurry filter size on the chemical mechanical polishing (CMP) defect density",Materials Letters,Vol.58 (2004)
    [42] J. M. Steigerwald,S. P. Murarka,R. J. Gutmann and D. J. Duquette,"Chemical processes in the chemical mechanical polishing of copper",Materials Chemistry & Physics,Vol.41 (1995)
    [43] Y. Seike,D. Denardis,M. Sugiyama,K. Miyachi,T. Doi and A. Philipossian,"Development and analysis of a high-pressure micro jet pad conditioning system for interlayer dielectric chemical mechanical planarization",Japanese Journal of Applied Physics,Part 1: Regular Papers and Short Notes and Review Papers,Vol.44 (2005)
    [44] M. Y. Tsai,S. T. Chen,Y. S. Liao and J. Sung,"Novel diamond conditioner dressing characteristics of CMP polishing pad", International Journal of Machine Tools and Manufacture,Vol.49 (2009)
    [45] 劉長齡、黃進坤、李宗仰和林淑貞,模糊混沌原理方法在工程上之應用III 碎形與混沌(Engineering Application for Theories and Methods on Fuzzy and Chaos III Fractors & Chaos),財團法人中興工程科技研究發展基金會,2001
    [46] 戴光政,「碎形路徑應用於研光機之研究(Research of Fractal Tool Path for Lapping Machines)」,淡江大學,機械工程學系碩士論文,1999。
    [47] 王瀅智,「應用碎形於CPU散熱片之分析與設計(Analysis and Design of Heat Sink Fins using Fractal Geometry)」,淡江大學,機械工程系碩士論文,2000。
    [48] C-C. A. Chen,Y. S. Juang and W. Z. Lin,"Generation of fractal toolpaths for irregular shapes of surface finishing areas",Journal of Materials Processing Technology,Vol.127 (2002)
    [49]曾茂仁,「銅晶圓化學機械研磨研磨墊孔洞幾何結構對研漿流場以及研磨效果之理論建立(The Theoretical Analysis for the Effect of porous structure of pad on the Slurry Flows and Tribological Performances Arising at the Chemical Mechanical Polishing of Cu-Film Wafers)」,成功大學,機械工程學系碩士論文,2005。
    [50] G. S. Kumar,P. Pandithevan and A. R. Ambatti,"Fractal raster tool paths for layered manufacturing of porous objects",Virtual and Physical Prototyping,Vol.4 (2009)
    [51] H. Y. Tam and H. Cheng,"An investigation of the effects of the tool path on the removal of material in polishing",Journal of Materials Processing Technology,Vol.210 (2010)
    [52] 川橋信夫、長谷川亨、志保浩司、河村知男、河原弘二和保幸生,"拋光墊及複數層式拋光墊、拋光墊的製造方法", 中華民國專利,公開編號: I250572 (2006)
    [53] G. P. Muldowney,"具有溝槽之研磨墊以減少漿液之消耗", 中華民國專利,公開號碼: 200911457 (2009)
    [54] R. L. Elliott and M. A. Walker,"Spiral grooved polishing pad for Chemical-mechanical planarization of semiconductor wafers",US Patent 5,690,540 (1997)
    [55] D. E. Bennett,T. H. Osterheld,F. C. Redeker and G. Addiego, "Polishing pad having a grooved pattern for use in a chemical mechanical polishing apparatus," US Patent 5,984,769 (1999)
    [56] A. J. Jensen and B. S. Thornton,"Chemical mechanical planarization or polishing pad with sections having varied groove patterns," US Patent,US 6,585,579 B2 (2003)
    [57] I. Park,T.-k. Kwon,J. Kim and I.-J. Hwang,"Chemical mechanical polishing pad having wave shaped grooves," US Patent,US 6,729,950 B2 (2004)
    [58] D. Dornfeld and S. Lee,"CMP pad with designed surface features," US Patent,US 7,226,345 B1 (2007)
    [59] ASTM,"Standard Test method for Rubber Property-Durometer Hardness D2240",(2006)
    [60] ASTM,"Standard Test Methods for Compressibility and Recovery of Gasket Materials F36",(2006)
    [61] H. Kim,D. W. Park,C. K. Hong,W. S. Han and J. T. Moon,"The Effect of Pad Properties on Planarity in a CMP Process",Materials Research Society,Vol.767 (2003)
    [62] S. D. Gouda,A. Bastawros and A. Chandra,"Multi-scale characterization of pad role on material removal rate in CMP",Materials Research Society,Vol.767 (2003)
    [63] Z. Stavreva,D. Zeidler,M. Plötner and K. Drescher,"Characteristics in chemical-mechanical polishing of copper: Comparison of polishing pads",Applied Surface Science,Vol.108 (1997)
    [64] S. Lee,H. Kim and D. Dornfeld,"Development of a CMP pad with controlled micro features for improved performance",IEEE International Symposium on Semiconductor Manufacturing,(2005)
    [65] K. H. Park,H. J. Kim,O. M. Chang and H. D. Jeong,"Effects of pad properties on material removal in chemical mechanical polishing", Journal of Materials Processing Technology,Vol.187-188 (2007)
    [66] J. McGrath and C. Davis,"Polishing pad surface characterisation in chemical mechanical planarisation",Journal of Materials Processing Technology,Vol.153-154 (2004)
    [67] Keyence,http://www.keyence.com/products/vision/microscope/vk9700/vk9700_features_9.php?lf=KW,2010
    [68] I. Sherrington and S. Mercer,"Use of topography-based parameters for the assessment and prediction of surface wear",TriboTest,Vol.7 (2000)
    [69] K. J. Stout,Development of methods for the Characterisation of Roughness in Three Dimensions,Butterworth-Heinemann,2001
    [70] L. Blunt and X. Jiang,Advanced Techniques for Assessment Surface Topography-Development of a Basis for 3D surface Texture standards "SURFSTAND",Butterworth-Heinemann,2003
    [71] G. Fu and A. Chandra,"The relationship between wafer surface pressure and wafer backside loading in Chemical Mechanical Polishing",Thin Solid Films,Vol.474 (2005)
    [72] H. Lee,B. Park and H. Jeong,"Influence of slurry components on uniformity in copper chemical mechanical planarization",Microelectronic Engineering,Vol.85 (2008)
    [73] R. M. Crownover,Introduction to Fractals and Chaos,1995
    [74] D. Rosales-Yeomans,D. DeNardis,L. Borucki,T. Suzuki,Y. Sampurno and A. Philipossian,"Evaluation of pad groove designs under reduced slurry flow rate conditions during copper CMP",Journal of the Electrochemical Society,Vol.155 (2008)
    [75] N. Mueller,C. Rogers,V. P. Manno,R. White and M. Moinpour,"In situ investigation of slurry flow fields during CMP",Journal of the Electrochemical Society,Vol.156 (2009)
    [76] K. Park and H. Jeong,"Investigation of pad surface topography distribution for material removal uniformity in CMP process",Journal of the Electrochemical Society,Vol.155 (2008)
    [77] 蔡明義,「CMP 鑽石修整器修整聚胺酯拋光墊表面特性之研究 (CMP Diamond Conditioner Dressing Characteristics of Polyurethane Pad)」,國立台灣大學,工學院機械工程研究所博士論文,2007。
    [78] 林彥德,「應用X-Ray量測技術研究薄膜應力特性與銅薄膜化學機械拋光製程之影響(Research on Thin Film Stress Characteristic and Effects of Cu-CMP Process Using X-Ray Measurement Technique)」,國立台灣科技大學,機械工程系碩士文,2010。
    [79] SEMATECH,MIT/SEMA 854 AZ Copper on Low-K Chemical Mechanical Polishing(CMP) Characterization Test Chip,2000

    QR CODE