簡易檢索 / 詳目顯示

研究生: 蔡明城
Ming-Cheng Tsai
論文名稱: 開發線上監控量測方法與系統應用於拋光墊性能水準分析之研究
Study on Developing On-line Monitoring Measurement and System Applied on the Performance Level of Polishing Pad
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 楊棋銘
Chi-Ming Yang
林增耀
Tzeng-Yow Lin
鍾俊輝
Chun-Hui Chung
丘群
Chiou Chiu
學位類別: 碩士
Master
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2016
畢業學年度: 104
語文別: 中文
論文頁數: 171
中文關鍵詞: 化學機械平坦化線上監控量測系統彩色共軛焦量測拋光墊性能水準碎形維度
外文關鍵詞: Performance level of polishing pad, Fractal dimension.
相關次數: 點閱:325下載:8
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

CMP(Chemical-Mechanical Planarization)為化學機械平坦化製程被應用於IC製造。在半導體線寬縮減的迫切需求下,穩定性和可用性於CMP製程已成為非常重要的課題。然而目前國內外尚未有線上量測裝置來監控與判定拋光墊性能狀態,使得拋光墊無法被有效率的修整,導致耗材費用居高不下。本研究自行研發設計線上監控量測系統與拋光墊性能水準 (Performance Level, PL)分析再修整性與再使用性,於製程中搭配拋光盤旋轉與搖臂移動,以彩色共軛焦(Chromatic Confocal)技術擷取含水膜拋光墊的表面形貌資訊進行量化指標分析與判定。系統採用靜態量測比對線上監控結果,比對結果顯示Spk具有82.7 %之高度相關性。將系統應用於監控馬拉松式矽晶片拋光之拋光墊配合晶圓品質監控,研究顯示拋光墊均勻度(Pad Uniformity, PU)走向趨勢若陡升25.89 %或Sa小於8.92 μm或Spk小於8.21 %或碎形維度(Fractal Dimension, FD)小於1.39必須再修整否則晶圓會產生刮傷與拋光效率降低;當有效壽命指標(Pad Effective Lifetime Index, PELI)下降至0.47以及碎形維度小於1.43,拋光效率下降55.70 %,拋光墊已無法再使用需立即更換。基於分析PU、Sa、Spk、FD與PELI,可作為使用者判斷拋光墊再修整與再使用性,進而達到拋光墊使用率最大化並減少更換次數之目的。


Chemical-mechanical planarization (CMP) has been known as a process for global and local planarization in IC fabrication. Due to urgent demand of conducting wires downsizing to nanometer, the stability and availability of CMP process have become critically significant. Currently, the CMP process for on-line measurement device to monitor the surface topography of pad in diamond dressing is not available, thus the polishing pad cannot be so efficiently dressed and evaluated in production. This study aims to develop an on-line monitoring measurement system to analyze the performance level of polishing pad. In this study a chromatic confocal sensor is attended on a designed fixture an arm to acquire pad topography data. The pad topography of total working area is detected by rotation of polishing pad and arm motion. The dynamic measurement of the on-line system has been compared with that of static measurement. Results show that Spk of pad is 82.7 %, which means high correlation. Normally, in CMP process the surface of the pad is covered with deionized water(DIW). The system can be used to monitor the pad performance during polishing of the silicon wafer. Results show that once the trend of the pad uniformity (PU) increases to 25.89 %, Sa value of the pad is less than 8.92 μm, Spk value is less than 8.21 % or fractal dimension (FD) value is less than 1.39, then the pad needs to be dressed. Otherwise, scratches may appear on the silicon wafer surface after CMP. Moreover, once the pad effective lifetime index (PELI) drops to 0.47 or FD is less than 1.43, based on experimental set-up, the polishing efficiency of silicon wafer decreases to 55.70 % and the pad is evaluated on functionless and needs to be replaced. From experimental results, the value of PU, Sa, Spk, FD and PELI can be considered as performance level index for re-dressing and reusability of the pad. Further the on-line monitoring measurement system could be applied on pad optimization in CMP process.

摘要 I Abstract II 致謝 III 目錄 V 圖目錄 IX 表目錄 XVI 名詞與符號表 XVIII 第一章 導論 1 1.1 研究背景 1 1.2 研究目的與方法 5 1.3 論文架構 7 第二章 拋光墊文獻回顧 9 2.1 表面形貌狀態評估相關文獻 9 2.2 機械性質特性相關文獻 17 2.3 量測方法 22 2.4 量測專利分析 26 2.5 文獻回顧總結 30 第三章 拋光墊結構與表面形貌分類及分析 32 3.1 拋光墊種類 35 3.2 結構與表面形貌分類 38 3.3 拋光墊性能水準與分析方法 40 3.4 承載面積比(Bearing Area Ratio, BAR) 44 3.5 碎形維度(Fractal Dimension, FD) 47 3.5.1 碎形幾何學 47 3.5.2 碎形維度計算 49 第四章 線上監控量測實驗設備與規劃 51 4.1 線上監控量測系統 51 4.1.1 量測技術評估 51 4.1.2 量測原理 53 4.1.3 系統架構 54 4.2 量測儀器 59 4.3 實驗耗材 61 4.3.1 拋光墊 61 4.3.2 晶圓 62 4.3.3 拋光液 62 4.3.4 鑽石修整器 64 4.4 實驗規劃 65 4.4.1 比較動/靜態量測差異(實驗A) 67 4.4.2 再修整性分析 (實驗B) 68 4.4.3 再使用性分析 (實驗C) 69 4.4.4 機械性質分析 (實驗D) 70 第五章 拋光墊動態量測分析結果與討論 71 5.1 Type I拋光墊比較動/靜態量測差異(實驗A) 73 5.1.1 量測結果相關性 74 5.1.2 微結構觀察 78 5.2 Type I拋光墊再修整性分析(實驗B) 82 5.2.1 拋光墊均勻度對於晶圓品質分析 83 5.2.2 拋光墊粗糙度、承載比與碎形維度對於拋光效率分析 89 5.3 Type I拋光墊再使用性分析(實驗C) 93 5.3.1 拋光墊均勻度對於晶圓品質分析 94 5.3.2 拋光墊粗糙度、承載比與碎形維度對於拋光效率分析 99 5.3.3 溝槽變化量對於拋光墊壽命分析 102 5.4 Type I拋光墊機械性質分析(實驗D) 106 5.4.1 硬度分析 106 5.4.2 楊氏係數與蒲松氏比分析 107 5.4.3 壓縮率與壓縮回彈率分析 110 5.5 綜合結果討論 115 第六章 結論與建議 118 6.1 結論 118 6.2 建議 119 參考文獻 120 附錄A 線上監控量測系統 125 附錄B 系統驗收報告 130 附錄C 動態數據三維形貌重建辦法 134 附錄D 比較水膜干擾去除法 137 附錄E 實驗動態量測結果之三維形貌 143 附錄F 精密製造實驗室歷屆拋光墊研究方向 146 附錄G 碎形維度計算方法與比較 147

[1] H. Xiao, 羅正忠, and 張鼎張, "半導體製程技術導論," 台灣培生教育出版, 台北市, 2002.
[2] 王建榮, 林慶福, and 林必窕, "半導體平坦化 CMP 技術," 全華科技圖書股份有限公司, 台北市, 1999.
[3] K. Miyachi, Y. Seike, S. Haba, S. Kurokawa, and T. K. Doi, "Impact of a High Pressure Micro Jet (HPMJ) on the conditioning and cleaning of unwoven fabric polyester pads in silicon polishing," in Planarization/CMP Technology (ICPT), 2007 International Conference on, 2007, pp. 1-6.
[4] B. J. Hooper, G. Byrne, and S. Galligan, "Pad conditioning in chemical mechanical polishing," Journal of Materials Processing Technology, vol. 123, pp. 107-113, 4/10/ 2002.
[5] H. Liang, F. Kaufman, R. Sevilla, and S. Anjur, "Wear phenomena in chemical mechanical polishing," Wear, vol. 211, pp. 271-279, 1997/11/01 1997.
[6] H. D. Jeong, K. H. Park, and K. K. Cho, "CMP Pad Break-in Time Reduction in Silicon Wafer Polishing," CIRP Annals - Manufacturing Technology, vol. 56, pp. 357-360, 2007.
[7] S. Huey, S. T. Mear, W. Yuchun, R. R. Jin, J. Ceresi, P. Freeman, et al., "Technological breakthrough in pad life improvement and its impact on CMP CoC," in Advanced Semiconductor Manufacturing Conference and Workshop, 1999 IEEE/SEMI, 1999, pp. 54-58.
[8] S. Del Monaco, F. Calderone, M. Fritah, T. L. Tiec, and A. Laurent, "Chemical Mechanical Planarization (CMP) In-Situ pad groove monitor through Fault Detection and Classification (FDC) system," in Planarization/CMP Technology (ICPT 2012), International Conference on, 2012, pp. 1-6.
[9] K. H. Park, H. J. Kim, O. M. Chang, and H. D. Jeong, "Effects of pad properties on material removal in chemical mechanical polishing," Journal of Materials Processing Technology, vol. 187-188, pp. 73-76, 2007.
[10] H. Lee, Y. Zhuang, M. Sugiyama, Y. Seike, M. Takaoka, K. Miyachi, et al., "Pad flattening ratio, coefficient of friction and removal rate analysis during silicon dioxide chemical mechanical planarization," Thin Solid Films, vol. 518, pp. 1994-2000, 2010.
[11] H. Jeong, H. Lee, S. Choi, Y. Lee, and H. Jeong, "Prediction of Real Contact Area from Microtopography on CMP Pad," Journal of Advanced Mechanical Design, Systems, and Manufacturing, vol. 6, pp. 113-120, 2012.
[12] 王柏凱, "雷射共軛焦三維表面形貌量測儀開發應用於拋光墊之碎形維度和承載比分析," 國立台灣科技大學, 機械工程研究所碩士論文, 2013.
[13] C.-J. Wen, "Analysis on Effective Lifetime Index of Polishing Pad for CMP Process of Monocrystalline Silicon Wafers and Sapphire," 2014.
[14] 周聖尉, "化學機械拋光中拋光墊動態及靜態特性之研究," 2005.
[15] 黃星豪, "藍寶石晶圓拋光加工之摩擦力與拋光墊機械性質分析研究," 國立台灣科技大學, 機械工程學系碩士論文, 2013.
[16] K. Kawabata, K. Fujimoto, and K. Miyamoto, "The elastic impact of polishing pad for sapphire polishing," in 2015 International Conference on Planarization/CMP Technology (ICPT), 2015
[17] J. McGrath and C. Davis, "Polishing pad surface characterisation in chemical mechanical planarisation," Journal of Materials Processing Technology, vol. 153-154, pp. 666-673, 2004.
[18] E.-S. Lee, J.-W. Cha, and S.-H. Kim, "Evaluation of the wafer polishing pad capacity and lifetime in the machining of reliable elevations," International Journal of Machine Tools and Manufacture, vol. 66, pp. 82-94, 2013.
[19] C.-S. Jun, K.-W. Kim, Y.-S. Yang, and H.-H. Kim, "Method for inspecting a polishing pad in a semiconductor manufacturing process, an apparatus for performing the method, and a polishing device adopting the apparatus.," 美國 Patent, 2003.
[20] T. Hirose, H. Kojima, M. Nomoto, and S. Aiuchi, "Polishing pad surface condition evaluation method and an apparatus thereof and a method of producing a semiconductor device," 美國 Patent, 2006.
[21] N. Osamu, T. Tetsuji, F. Makoto, and Y. Hozumi, "頂環、研磨裝置及研磨方法," 中華民國 Patent, 2012.
[22] P. Ettl, B. E. Schmidt, M. Schenk, I. Laszlo, and G. Haeusler, "Roughness parameters and surface deformation measured by coherence radar," in International Conference on Applied Optical Metrology, 1998, pp. 133-140.
[23] Y. Li, Microelectronic applications of chemical mechanical planarization: John Wiley & Sons, 2007.
[24] "ISO 25178-2:2012 Geometrical Product Specifications (GPS) - Surface texture: Areal, Part1: Terms, definitions and surface texture parameters."
[25] R. L. Devaney, L. Keen, and K. T. Alligood, Chaos and fractals: The mathematics behind the computer graphics vol. 1: American Mathematical Soc., 1989.
[26] K. Falconer, Fractal geometry: mathematical foundations and applications: John Wiley & Sons, 2004.
[27] 李琦, 許有麟, 尚祚恒, 林建德, and 陳儒毅, "商標設計的複雜度和辨識度資訊," 合肥工業大學學報: 社會科學版, pp. 159-163, 2010.
[28] M. Hasegawa, J. Liu, K. Okuda, and M. Nunobiki, "Calculation of the fractal dimensions of machined surface profiles," Wear, vol. 192, pp. 40-45, 1996/03/01 1996.
[29] 尹德宏, "Motif、FFT及碎形維度法在加工面之表面形貌分析與比較," 碩士論文, 機械工程研究所, 私立淡江大學, 1997.

QR CODE