簡易檢索 / 詳目顯示

研究生: Mohit Sharma
Mohit Sharma
論文名稱: 銅鈍化層之奈米劃痕硬度分析於化學 機械拋光製程模式硏究
Analysis on Nano Scratch Hardness of Copper Passivation Layer for Process Model of Chemical Mechanical Polishing
指導教授: 陳炤彰
Chao-Chang A. Chen
口試委員: 陳智
Chih Chen
蔡志成
Jhy-Cherng Tsai
蔡宏營
Hung-Yin Tsai
趙崇禮
Choung Lii Chao
蔡曜陽
Yao-Yang Tsai
田維欣
Wei-Hsin Tien
陳士勛
Shih-Hsun Chen
陳炤彰
Chao-Chang A. Chen
學位類別: 博士
Doctor
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2022
畢業學年度: 110
語文別: 英文
論文頁數: 218
中文關鍵詞: 化學機械研磨拋光銅薄膜奈米壓痕試驗奈米刮痕硬度銅鈍化層
外文關鍵詞: Chemical Mechanical Polishing (CMP), Copper Thin Film, Nanoindentation, Nano Scratch Hardness, Copper Passivation Layer
相關次數: 點閱:311下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 化學機械拋光(Chemical Mechanical Polishing, CMP)是半導體製造中最關鍵的製程且廣泛應用。了解拋光墊與晶圓接觸的機械相互作用以及晶圓表面的化學變化對於CMP製程的材料去除機制至關重要。本研究主要探討銅在拋光液中奈米力學性質的變化,並將奈米刮痕硬度納入CMP材料移除的建模。本研究之材料移除解析法是基於化學反應後表面之機械材料磨耗和根據腐蝕理論的化學溶解,該材料移除模型與CMP狀態下銅薄膜晶圓的拋光墊性能和刮痕硬度成函數關係,並結合了對材料去除的機械和化學影響。磨料和晶圓之間的接觸被建模為滑動壓痕,拋光墊和磨料之間的接觸被認為準靜態壓痕。藉由使用 Hysitron TI 980 Triboindenter 進行奈米壓痕試驗來測試拋光墊和銅膜在拋光液鈍化的機械性能。在潮濕環境中對銅薄膜晶圓樣品進行恆定負載模式和斜坡負載模式的奈米劃痕實驗。本研究中用商用塊狀銅薄膜晶圓和(111)奈米雙晶銅晶圓在實驗研究和CMP建模。開發了一個考量到彈性恢復的奈米刮痕硬度模型,用於恆定附載模式以評估銅薄膜的刮痕硬度。X 射線光電子能譜分析(X-ray photoelectron spectroscopy, XPS)是用以表示濕式環境中銅薄膜表面的鈍化層,而拋光墊表面形貌結構是透過雷射共軛焦顯微鏡進行分析。根據拋光墊表面的線性面材料比曲線參數,提出了晶圓拋光墊接觸閥值。這項研究建立了拋光墊與晶圓特性及奈米級接觸模型假設內的材料去除之間的相關性。最後,在 Matlab AppDesigner中開發了一個使用者介面(GUI),用於銅CMP製程的材料移除率預測,該模型為優化CMP參數提供了理論和實驗的結合,可用於開發CMP模擬軟體系統來分析先進半導體的銅晶圓拋光製程。


    Chemical mechanical polishing (CMP) is the most crucial process for semiconductor fabrication with wide applications recently. Understanding the varied mechanical interaction at contact or engaged area between polishing pad-wafer as well as chemical alteration of wafer surface is essential to comprehend the mechanism of material removal in CMP process. This dissertation aims to investigate the change in nanomechanical properties and nano scratch hardness of copper in slurry conditions and incorporate them into modeling the material removal rate (MRR) in CMP. In this study, an analytical material removal model based on mechanical material wear of chemically passivated surface and corrosion theory-based chemical dissolution of copper thin film has been established as a function of polishing pad properties and nano scratch hardness of copper thin film wafer in CMP conditions. The model incorporates both mechanical and chemical effects on material removal. The contact between abrasive and wafer is modeled as a sliding indentation of a half-space and the contact between polishing pad and abrasive is considered to be a quasi-static indentation of a half-space. The mechanical properties of the polishing pad and copper thin film wafer with passivation in slurry conditions are examined by nanoindentation testing with Hysitron TI 980 Triboindenter. Nano scratch experiments in constant load mode, as well as ramp load mode, have been performed on copper thin film wafer specimens. Commercially available silicon coupon wafer with copper thin film and (111) nanotwinned copper thin film specimens are implemented for experimental investigation and CMP modeling in this research. An explicit nano scratch hardness model with consideration of elastic recovery has been developed for constant load mode scratching to access the nano scratch hardness of copper thin film. X-ray photoelectron spectroscopy (XPS) analysis is carried out to characterize the passivated layer on copper thin film surface in wet environments. The surface topography of polishing pad is analyzed by laser confocal microscope. The wafer-polishing pad contact threshold is proposed based on the linear areal material ratio curve parameters of the polishing pad surface. Results of this study establish a strong correlation between the experimentally measured polishing pad, wafer properties, and MRR of CMP within the nanoscale contact model assumptions. Furthermore, a graphical user interface (GUI) has been developed in Matlab AppDesigner for the MRR prediction for copper CMP. The model provides a theoretical and experimental framework for optimizing the CMP process parameters, which can be employed to develop a simulator to analyze and optimize the advanced node copper CMP for high volume production of IC devices.

    摘要 I ABSTRACT II Acknowledgment III Table of Contents IV List of Figures VII List of Tables XIV List of Symbols and Nomenclature XV Chapter 1 Introduction 1 1.1 Research Background 1 1.2 Research Objective and Scope 3 1.3 Dissertation Framework and Chapter Contributions 5 Chapter 2 Literature Review 8 2.1 Nanomechanical Property Testing 8 2.2 Nanotwinned Copper Thin Film 13 2.3 Mechanism of Material Removal in CMP 15 2.4 Sliding Indentation of Abrasive Contact in CMP 20 2.5 Polishing Pad Characterization 22 2.6 Summary of Literature Review 26 Chapter 3 Nanomechanical Properties in Slurry condition 27 3.1 Specimen Preparation 27 3.1.1 Copper Thin Film 28 3.1.2 Nanotwinned Copper Thin Film 31 3.2 Triboindenter TI980 33 3.2.1 Scanning Probe Microscope Feature 34 3.2.2 Accelerated Properties Mapping XPM Feature 37 3.3 Nanoindentation Experiment 38 3.3.1 Nanoindentation Test for Copper Thin Film 39 3.3.2 Nanoindentation Test for Nanotwinned Copper Thin Film 43 3.4 Effect of Slurry Temperature on Nanohardness 45 3.5 Nano Scratch Experiments in Ramp Mode 47 3.5.1 Ramp Load Mode Scratch for Copper Thin Film 47 3.5.2 Ramp Load Mode Scratch for Nanotwinned Copper Thin Film 50 3.5.3 Variation of Scratch Depth 52 3.5.4 Variation of Lateral Force and Coefficient of Friction 53 3.5.5 Wear Rate and Instantaneous Specific Energy 57 3.6 X-ray Photoelectron Spectroscopy Analysis 59 3.7 Grain Size Distribution of Nanotwinned Copper Specimen 65 3.8 Summary of Nanoindentation and Ramp Mode Scratch Results 66 Chapter 4 Nano Scratch Hardness Model 68 4.1 Nano scratch hardness Model 68 4.2 Elastic Recovery Model 70 4.3 Nano Scratch Experiments in Constant Mode 73 4.3.1 Constant Load Mode Scratch for Copper Thin Film Specimens 73 4.3.2 Constant Load Mode Scratch for Nanotwinned Copper Specimens 75 4.4 Summary of Nano Scratch Hardness Evaluation 76 Chapter 5 Modeling of CMP Material Removal Rate 77 5.1 Model Assumptions 80 5.2 Active Contact Area 81 5.3 Polishing Pad Parameters Measurement and Analysis 82 5.3.1 Polishing Pad Mechanical Properties 82 5.3.2 Polishing Pad Surface Topography Characterization 85 5.4 Active Abrasive Particles 90 5.5 Material Removed by Single Abrasive 93 5.6 Mechanical Material Removal 97 5.7 Chemical Dissolution 97 `5.8 Combined Material Removal 100 5.9 Graphic User Interface for CMP MRR Process Model 100 Chapter 6 Results and Discussions 103 6.1 CMP Results for Logitech PM5 104 6.2 CMP Results for Hamai HS720C 108 6.3 Academic Contributions 114 Chapter 7 Conclusions and Recommendations 117 7.1 Conclusion 117 7.2 Recommendation 119 References 120 Appendix A: CMP GUI Code and Flow Chart 127 Appendix B: Nanoindentation Results 143 Appendix C: Specifications of Instruments 151 Appendix D: Publications of Author 155 Biography of Author 197

    [1] A. K. Stamper, T. L. McDevitt, S.L. Luce, Sub-0.25-micron Interconnection Scaling: Damascene Copper versus Subtractive Aluminum, IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 1998, pp. 337-346.
    [2] IBM, IBM US,Copper Interconnects: The Evolution of Microprocessors, IBM100.
    [3] T. Du, D. Tamboli, V. Desai, S. Seal, Mechanism of copper removal during CMP in acidic H2O2 slurry, Journal of the Electrochemical Society, 151 (2004) G230-G235.
    [4] J. Gambino, J. Robbins, T. Rutkowski, C. Johnson, K. DeVries, D. Rath, P. Vereecken, E. Walton, B. Porth, M. Wenner, T. McDevitt, J. Chapple-Sokol, S. Luce, Etching of copper in deionized water rinse, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, 2008, pp. 1-4.
    [5] T. Kanki, T. Kimura, T. Nakamura, Chemical and Mechanical Properties of Cu Surface Reaction Layers in Cu-CMP to Improve Planarization, Ecs J Solid State Sc, 2 (2013) P375-P379.
    [6] J. Cheng, T. Wang, Y. He, X. Lu, Material removal mechanism of copper chemical mechanical polishing in a periodate-based slurry, Applied Surface Science, 337 (2015) 130-137.
    [7] B. Bhushan, J.N. Israelachvili, U. Landman, Nanotribology - Friction, Wear and Lubrication at the Atomic-Scale, Nature, 374 (1995) 607-616.
    [8] J.T. Abiade, S. Yeruva, W. Choi, B.M. Moudgil, D. Kumar, R.K. Singh, A Tribochemical Study of Ceria-Silica Interactions for CMP, Journal of The Electrochemical Society, 153 (2006).
    [9] N. Saka, T. Eusner, J.H. Chun, Nano-scale scratching in chemical-mechanical polishing, Cirp Ann-Manuf Techn, 57 (2008) 341-344.
    [10] W.-E. Fu, C-C. A. Chen, K.-W. Huang, Y.-Q. Chang, Material removal mechanism of Cu-CMP studied by nano-scratching under various environmental conditions, Wear, 278-279 (2012) 87-93.
    [11] W.-E. Fu, C-C. A. Chen, K.-W. Huang, Y.-Q. Chang, T.-Y. Lin, C.-S. Chang, J.-S. Chen, Nano-scratch evaluations of copper chemical mechanical polishing, Thin Solid Films, 529 (2013) 306-311.
    [12] C-C. A. Chen, C.C. Chao, K.W. Huang, W.E. Fu, Study of Microhardness and Young's Modulus of Copper Thin Film by Tip-Grit AFM Scratch Technology in Various Environments, Key Engineering Materials, 626 (2014) 529-540.
    [13] C.C. Chao, Estimation of Nano Mechanical Properties and Interfacial Energy of Copper Thin Film with Tip-Grit AFM Scratch Method, Mechanical Engineering, National Taiwan University of Science and Technology, Taiwan, 2017.
    [14] T.H. Fang, W.J. Chang, Nanomechanical properties of copper thin films on different substrates using the nanoindentation technique, Microelectron Eng, 65 (2003) 231-238.
    [15] D. Beegan, S. Chowdhury, M.T. Laugier, Comparison between nanoindentation and scratch test hardness (scratch hardness) values of copper thin films on oxidised silicon substrates, Surface and Coatings Technology, 201 (2007) 5804-5808.
    [16] A.H. Almasri, G.Z. Voyiadjis, Nano-indentation in FCC metals: experimental study, Acta Mechanica, 209 (2010) 1-9.
    [17] N. Moharrami, A. Oila, S.J. Bull, Extracting mechanical properties of copper coatings on oxidized silicon substrates by nanoindentation, J Phys D Appl Phys, 47 (2014).
    [18] W.C. Oliver, G.M. Pharr, An Improved Technique for Determining Hardness and Elastic-Modulus Using Load and Displacement Sensing Indentation Experiments, J Mater Res, 7 (1992) 1564-1583.
    [19] N. Xu, W. Han, Y. Wang, J. Li, Z. Shan, Nanoscratching of copper surface by CeO2, Acta Materialia, 124 (2017) 343-350.
    [20] Y. Wang, Y. Zhu, D. Zhao, D. Bian, Nanoscratch of aluminum in dry, water and aqueous H2O2 conditions, Applied Surface Science, 464 (2019) 229-235.
    [21] E.D. Hintsala, U. Hangen, D.D. Stauffer, High-Throughput Nanoindentation for Statistical and Spatial Property Determination, Jom-Us, 70 (2018) 494-503.
    [22] L. Lu, Y. Shen, X. Chen, L. Qian, K. Lu, Ultrahigh Strength and High Electrical Conductivity in Copper, Science, 304 (2004) 422-426.
    [23] K. Lu, L. Lu, S. Suresh, Strengthening Materials by Engineering Coherent Internal Boundaries at the Nanoscale, Science, 324 (2009) 349-352.
    [24] Y.-S. Huang, C.-M. Liu, W.-L. Chiu, C. Chen, Grain growth in electroplated (111)-oriented nanotwinned Cu, Scripta Materialia, 89 (2014) 5-8.
    [25] D.-P. Tran, H.-H. Li, I.H. Tseng, C. Chen, Enhancement of electromigration lifetime of copper lines by eliminating nanoscale grains in highly <111>-oriented nanotwinned structures, Journal of Materials Research and Technology, 15 (2021) 6690-6699.
    [26] Y.-J. Li, K.-N. Tu, C. Chen, Tensile Properties and Thermal Stability of Unidirectionally <111>-Oriented Nanotwinned and <110>-Oriented Microtwinned Copper, Materials, 13 (2020).
    [27] Y.J. Li, K.N. Tu, C. Chen, Tensile Properties of <111>-Oriented Nanotwinned Cu with Different Columnar Grain Structures, Materials (Basel), 13 (2020).
    [28] T.-C. Liu, C.-M. Liu, H.-Y. Hsiao, J.-L. Lu, Y.-S. Huang, C. Chen, Fabrication and Characterization of (111)-Oriented and Nanotwinned Cu by Dc Electrodeposition, Crystal Growth & Design, 12 (2012) 5012-5016.
    [29] T.L. Lu, Y.A. Shen, J.A. Wu, C. Chen, Anisotropic Grain Growth in (111) Nanotwinned Cu Films by DC Electrodeposition, Materials (Basel), 13 (2019).
    [30] C.-H. Tseng, C. Chen, Growth of Highly (111)-Oriented Nanotwinned Cu with the Addition of Sulfuric Acid in CuSO4 Based Electrolyte, Crystal Growth & Design, 19 (2019) 81-89.
    [31] K.-J. Chen, J.A. Wu, C. Chen, Effect of Reverse Currents during Electroplating on the ⟨111⟩-Oriented and Nanotwinned Columnar Grain Growth of Copper Films, Crystal Growth & Design, 20 (2020) 3834-3841.
    [32] J. Luo, D. Dornfeld, Integrated Modeling of Chemical Mechanical Planarization for Sub-Micron IC Fabrication, 2004.
    [33] J.F. Luo, D.A. Dornfeld, Material removal mechanism in chemical mechanical polishing: Theory and modeling, Ieee T Semiconduct M, 14 (2001) 112-133.
    [34] J. Luo, D. Dornfeld, Effects of Abrasive Size Distribution in Chemical Mechanical Planarization: Modeling and Verification, Semiconductor Manufacturing, IEEE Transactions on, 16 (2003) 469-476.
    [35] W. Fan, D. Boning, Multiscale modeling of chemical mechanical planarization (CMP), Advances in Chemical Mechanical Planarization (CMP), 2016, pp. 137-167.
    [36] F.W. Preston, The Theory and Design of Plate Glass Polishing Machines, J. Soc. Glass Tech., 11 (1927) 214.
    [37] K.L. Johnson, Normal contact of elastic solids – Hertz theory, Contact Mechanics, Cambridge University Press, Cambridge, 1985, pp. 84-106.
    [38] K.L. Johnson, Line loading of an elastic half-space, Contact Mechanics, Cambridge University Press, Cambridge, 1985, pp. 11-44.
    [39] J. Greenwood, J. Williamson, Contact of Nominally Flat Surfaces, Proceedings of the Royal Society of London (A), 295 (1966) 300-319.
    [40] H.S. Lee, H.D. Jeong, D.A. Dornfeld, Semi-empirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes, Precis Eng, 37 (2013) 483-490.
    [41] H. Lee, Semi-empirical Material Removal Model with Modified Real Contact Area for CMP, International Journal of Precision Engineering and Manufacturing, 20 (2019) 1325-1332.
    [42] K. Qin, B. Moudgil, C.-W. Park, A chemical mechanical polishing model incorporating both the chemical and mechanical effects, Thin Solid Films, 446 (2004) 277-286.
    [43] J. Li, Z. Wei, T. Wang, J. Cheng, Q. He, A theoretical model incorporating both the nano-scale material removal and wafer global uniformity during planarization process, Thin Solid Films, 636 (2017) 240-246.
    [44] J. Li, X. Lu, Y. He, J. Luo, Modeling the Chemical-Mechanical Synergy during Copper CMP, Journal of The Electrochemical Society, 158 (2011).
    [45] J. Li, Y. Liu, T. Wang, X. Lu, J. Luo, Electrochemical investigation of copper passivation kinetics and its application to low-pressure CMP modeling, Applied Surface Science, 265 (2013) 764-770.
    [46] J.R. Goldberg, J. Gilbert, Electrochemical response of CoCrMo to high-speed fracture of its metal oxide using an electrochemical scratch test method, Journal of biomedical materials research, 37 3 (1997) 421-431.
    [47] B.T. Lu, J.L. Luo, H.Y. Ma, A theoretical model on electrochemical response of passivated metals to solid particle impingement, Journal of the Electrochemical Society, 154 (2007) C159-C168.
    [48] Y. Zhao, L. Chang, A micro-contact and wear model for chemical–mechanical polishing of silicon wafers, Wear, 252 (2002) 220-226.
    [49] X.C. Chen, Y.W. Zhao, Y.G. Wang, Modeling the effects of particle deformation in chemical mechanical polishing, Applied Surface Science, 258 (2012) 8469-8474.
    [50] Y.G. Wang, Y.W. Zhao, W. An, Z.F. Ni, J. Wang, Modeling effects of abrasive particle size and concentration on material removal at molecular scale in chemical mechanical polishing, Applied Surface Science, 257 (2010) 249-253.
    [51] Y. Wang, Y. Chen, F. Qi, Z. Xing, W. Liu, A molecular-scale analytic model to evaluate material removal rate in chemical mechanical planarization considering the abrasive shape, Microelectron Eng, 134 (2015) 54-59.
    [52] Y. Wang, Y. Chen, F. Qi, D. Zhao, W. Liu, A material removal model for silicon oxide layers in chemical mechanical planarization considering the promoted chemical reaction by the down pressure, Tribology International, 93 (2016) 11-16.
    [53] N. Saka, T. Eusner, J.H. Chun, Nano-scale scratching in chemical–mechanical polishing, CIRP Annals, 57 (2008) 341-344.
    [54] Standard Test Method for Scratch Hardness of Materials Using a Diamond Stylus. West Conshohocken, PA, ASTM International., G171 − 03 (2017).
    [55] S. Sawamura, L. Wondraczek, Scratch hardness of glass, Physical Review Materials, 2 (2018).
    [56] S.K. Sinha, S.U. Reddy, M. Gupta, Scratch hardness and mechanical property correlation for Mg/SiC and Mg/SiC/Ti metal–matrix composites, Tribology International, 39 (2006) 184-189.
    [57] S. Lafaye, M. Troyon, On the friction behaviour in nanoscratch testing, Wear, 261 (2006) 905-913.
    [58] S. Lafaye, C. Gauthier, R. Schirrer, The ploughing friction: analytical model with elastic recovery for a conical tip with a blunted spherical extremity, Tribology Letters, 21 (2006) 95-99.
    [59] S. Lafaye, True solution of the ploughing friction coefficient with elastic recovery in the case of a conical tip with a blunted spherical extremity, Wear, 264 (2008) 550-554.
    [60] S. Kim, N. Saka, J.-H. Chun, The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing, Procedia CIRP, 14 (2014) 42-47.
    [61] S. Kim, N. Saka, J.-H. Chun, Pad Scratching in Chemical-Mechanical Polishing: The Effects of Mechanical and Tribological Properties, Ecs J Solid State Sc, 3 (2014) P169-P178.
    [62] S. Kim, N. Saka, J. Chun, The Role of Pad Topography in Chemical-Mechanical Polishing, Ieee T Semiconduct M, 27 (2014) 431-442.
    [63] K. Park, H. Jeong, Investigation of Pad Surface Topography Distribution for Material Removal Uniformity in CMP Process, Journal of The Electrochemical Society, 155 (2008) H595-H602.
    [64] C. Lee, H. Lee, M. Jeong, H. Jeong, A study on the correlation between pad property and material removal rate in CMP, International Journal of Precision Engineering and Manufacturing, 12 (2011) 917-920.
    [65] M. Uneda, Y. Maeda, K.I. Ishikawa, K. Shibuya, Y. Nakamura, K. Ichikawa, T.K. Doi, Effect of Pad Surface Asperity on Removal Rate in Chemical Mechanical Polishing, Advanced Materials Research, 497 (2012) 256-263.
    [66] D. Castillo-Mejia, J. Kelchner, S. Beaudoin, Polishing Pad Surface Morphology and Chemical Mechanical Planarization, Journal of The Electrochemical Society, 151 (2004) G271.
    [67] A. Bastawros, A. Chandra, S. Gouda, A Quantitative Analysis of Multi-Scale Response of CMP Pad and Implication to Process Assessments, Ecs J Solid State Sc, 8 (2019) P3145-P3153.
    [68] C.L. Elmufdi, G.P. Muldowney, A Novel Optical Technique to Measure Pad-Wafer Contact Area in Chemical Mechanical Planarization, MRS Proceedings, 914 (2006) 0914-F0912-0906.
    [69] D. Boning, W. Fan, Characterization and Modeling of Pad Asperity Response in CMP, MRS Online Proceedings Library, 1249 (2010) 504.
    [70] B. Vasilev, S. Bott, R. Rzehak, R. Liske, J.W. Bartha, A method for characterizing the pad surface texture and modeling its impact on the planarization in CMP, Microelectron Eng, 104 (2013) 48-57.
    [71] J.-C. Li, Process Machine Interactions (PMI) Modeling of Pad Conditioning in CMP Process with a Dynamic Pad Measurement System, Mechanical Engineering, National Taiwan University of Science and Technology, Taiwan, 2021.
    [72] J.-C. Li, C-C. A. Chen, P.-J. Ricky Shiu, L.-Y. Yang, H. Hiyama, Y. Wada, Analysis on Pad Surface Roughness of Diamond Conditioning Process for CMP, Ecs J Solid State Sc, 10 (2021) 044009.
    [73] C-C. A. Chen, J.-C. Li, W.-C. Liao, Y.-J. Ciou, C.-C. Chen, Dynamic Pad Surface Metrology Monitoring by Swing-Arm Chromatic Confocal System, Applied Sciences, 11 (2021).
    [74] X.Y. Nie, P. Zhang, A.M. Weiner, Y.T. Cheng, Nanoscale wear and machining behavior of nanolayer interfaces, Nano Lett, 5 (2005) 1992-1996.
    [75] N. Fairley, http://www.casaxps.com/, 2005, pp. © Casa software Ltd.
    [76] V. Fernandez, D. Kiani, N. Fairley, F.-X. Felpin, J. Baltrusaitis, Curve fitting complex X-ray photoelectron spectra of graphite-supported copper nanoparticles using informed line shapes, Applied Surface Science, 505 (2020).
    [77] M. d’Halluin, T. Mabit, N. Fairley, V. Fernandez, M.B. Gawande, E. Le Grognec, F.-X. Felpin, Graphite-supported ultra-small copper nanoparticles – Preparation, characterization and catalysis applications, Carbon, 93 (2015) 974-983.
    [78] M.C. Biesinger, L.W.M. Lau, A.R. Gerson, R.S.C. Smart, Resolving surface chemical states in XPS analysis of first row transition metals, oxides and hydroxides: Sc, Ti, V, Cu and Zn, Applied Surface Science, 257 (2010) 887-898.
    [79] M.C. Biesinger, Advanced analysis of copper X-ray photoelectron spectra, Surface and Interface Analysis, 49 (2017) 1325-1334.
    [80] S.H. Ng, R. Hight, C. Zhou, I. Yoon, S. Danyluk, Pad Soaking Effect on Interfacial Fluid Pressure Measurements During CMP, Journal of Tribology, 125 (2003) 582-586.

    無法下載圖示 全文公開日期 2024/09/28 (校內網路)
    全文公開日期 2024/09/28 (校外網路)
    全文公開日期 2024/09/28 (國家圖書館:臺灣博碩士論文系統)
    QR CODE