簡易檢索 / 詳目顯示

研究生: 朱信豪
Hsin-Hao Chu
論文名稱: 熱感知多核心系統之線上服務品質調整
On-line Thermal-aware Service Rate Adjustment for Multi-Core Systems
指導教授: 陳雅淑
Ya-Shu Chen
口試委員: 吳晉賢
Chin-Hsien Wu
張立平
Li-Pin Chang
謝仁偉
Jen-Wei Hsieh
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2013
畢業學年度: 101
語文別: 英文
論文頁數: 39
中文關鍵詞: 服務層級服務品質多核心處理器熱感知任務排程
外文關鍵詞: Task Scheduling, Service Level, Service Rate, Multi-core chip, Thermal-aware
相關次數: 點閱:273下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於熱量性能的不確定性,多核心系統溫度管理是一個具有挑戰性且重要的問題。本研究針對多核心即時系統提出一個基於反饋控制器的排程架構TMS-FC,用來管理系統上的溫度及效能。考慮到核心間的熱效應和任務執行時期的動態變化,本研究提出防止系統過熱之排程架構,並達到系統利用率最大化之目標。有別於以往的研究,本研究提出服務層級控制器,透過動態調整任務的服務品質來冷卻系統。本研究提出的架構藉由不同的工作負載與執行時期的變異來評估其效能,得到出色和穩定的結果。


    Thermal management is a challenging problem due to dynamics of thermal properties. This study proposes a scheduling framework TMS-FC based on feedback controllers to manage system thermal and performance for multi-core real-time systems. Considering inter-core thermal effect and dynamic variations in task execution, the proposed algorithms prevent the system from overheating and maximize the system utilization. Different from prior work, the service level controller is presented to cool the system by adjusting the proper service rate of tasks. This study also evaluates the capability of the proposed framework using different workloads, yielding positive and stable results.

    1 Introduction 4 2 System Model 6 3 On-line Thermal-aware Service Rate Adjustment for Multi- Core Systems 8 3.1 Framework . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.2 Thermal Controller . . . . . . . . . . . . . . . . . . . . . . . . 9 3.3 Power Controller . . . . . . . . . . . . . . . . . . . . . . . . . 11 3.4 Dispatcher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 3.5 Service Level Controller . . . . . . . . . . . . . . . . . . . . . 16 3.6 Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 3.7 Remark: Frequency Level Controller . . . . . . . . . . . . . . 22 4 Performance Evaluation 23 4.1 Data Sets and Performance Metrics . . . . . . . . . . . . . . . 23 4.2 Experiment Results . . . . . . . . . . . . . . . . . . . . . . . . 24 4.3 Constant Thermal Property . . . . . . . . . . . . . . . . . . . 25 4.4 Inconstant Thermal Property . . . . . . . . . . . . . . . . . . 28 4.5 Effect of Dispatchers . . . . . . . . . . . . . . . . . . . . . . . 31 4.6 Effect of Service Level Controller . . . . . . . . . . . . . . . . 31 5 Conclusion 34

    [1] H. Chiueh, J. Draper, and J. Choma, Jr., “A dynamic thermal management circuit for system-on-chip designs,” Analog Integr. Circuits Signal Process., pp. 175–181, Jul. 2003.
    [2] S. Sharifi and T. v. Rosing, “Accurate direct and indirect on-chip temperature sensing for efficient dynamic thermal management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009, pp. 1586–1599, Oct. 2010.
    [3] Y. Zhang and A. Srivastava, “Accurate temperature estimation using noisy thermal sensors,” in Proceedings of the 46th Annual Design Automation Conference, 2009, pp. 472–477.
    [4] H. Zhou, X. Li, C.-Y. Cher, E. Kursun, H. Qian, and S.-C. Yao, “An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring,” in Proceedings of the 49th Annual Design Automation Conference, 2012, pp. 642–647.
    [5] S. Reda, R. Cochran, and A. N. Nowroz, “Improved thermal tracking for processors using hard and soft sensor allocation techniques.” IEEE Trans. Computers, pp. 841–851, 2011.
    [6] X. Fu, X. Wang, and E. Puster, “Dynamic thermal and timeliness guarantees for distributed real-time embedded systems,” in in 2009 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Aug. 2009, pp. 403–412.
    [7] D. Brooks and M. Martonosi, “Dynamic thermal management for high-performance microprocessors,” in Proceedings of the 7th International Symposium on High-Performance Computer Architecture, 2001, pp.171–.
    [8] K. Skadron, “Hybrid architectural dynamic thermal management,” in Proceedings of the conference on Design, automation and test in Europe - Volume 1, 2004, pp. 10 010–.
    [9] V. Chaturvedi, H. Huang, and G. Quan, “Leakage aware scheduling on maximum temperature minimization for periodic hard real-time systems,” in 2010 IEEE 10th International Conference on Computer and Information Technology (CIT), 2010, pp. 1802–1809.
    [10] P. M. Hettiarachchi, N. Fisher, M. Ahmed, L. Y. Wang, S. Wang, and W. Shi, “The design and analysis of thermal-resilient hard-real-time systems,” in IEEE Real-Time and Embedded Technology and Applications Symposium, 2012, pp. 67–76.
    [11] J. Yang, X. Zhou, M. Chrobak, Y. Zhang, and L. Jin, “Dynamic thermal management through task scheduling,” in in IEEE International Symposium on Performance Analysis of Systems and software, April 2008, pp. 191–201.
    [12] J. Cui and D. L. Maskell, “Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system,” in Proceedings of the 19th ACM Great Lakes symposium on VLSI, 2009, pp. 393–396.
    [13] I. Yeo, C. C. Liu, and E. J. Kim, “Predictive dynamic thermal management for multicore systems,” in Proceedings of the 45th annual Design Automation Conference, 2008, pp. 734–739.
    [14] A. K. Coskun, T. S. Rosing, and K. C. Gross, “Proactive temperature balancing for low cost thermal management in mpsocs,” in Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, 2008, pp. 250–257.
    [15] R. Z. Ayoub and T. S. Rosing, “Predict and act: dynamic thermal management for multi-core processors,” in Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, 2009, pp. 99–104.
    [16] A. Kumar, L. Shang, L.-S. Peh, and N. Jha, “System-level dynamic thermal management for high-performance microprocessors,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 96–108, Jan. 2008.
    [17] A. K. Coskun, T. S. Rosing, and K. Whisnant, “Temperature aware task scheduling in mpsocs,” in Proceedings of the conference on Design, automation and test in Europe, 2007, pp. 1659–1664.
    [18] D. Forte and A. Srivastava, “Thermal-aware sensor scheduling for distributed estimation,” in Distributed Computing in Sensor Systems, 2010, pp. 116–129.
    [19] S. Sharifi, R. Ayoub, and T. S. Rosing, “Tempomp: integrated prediction and management of temperature in heterogeneous mpsocs,” in Proceedings of the Conference on Design, Automation and Test in Europe,2012, pp. 593–598.
    [20] N. Fisher, J.-J. Chen, S. Wang, and L. Thiele, “Thermal-aware global real-time scheduling on multicore systems,” in Real-Time and Embedded Technology and Applications Symposium, 2009, pp. 131–140.
    [21] B. Shi, Y. Zhang, and A. Srivastava, “Dynamic thermal management under soft thermal constraints,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp. 1–1, 2012.
    [22] K. Skadron, T. Abdelzaher, and M. Stan, “Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management,” in Eighth International Symposium on High-Performance Computer Architecture, 2002, pp. 17–28.
    [23] R. Jayaseelan and T. Mitra, “Dynamic thermal management via architectural adaptation,” in in 46th ACM/IEEE on Design Automation Conference, 2009, pp. 484–489.
    [24] Y. Fu, N. Kottenstette, Y. Chen, C. Lu, X. D. Koutsoukos, and H.Wang, “Feedback thermal control for real-time systems,” in Proceedings of the 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium, 2010, pp. 111–120.
    [25] Y. Fu, N. Kottenstette, C. Lu, and X. Koutsoukos, “Feedback thermal control of real-time systems on multicore processors,” 2011.
    [26] G. Grimm, M. Messina, S. Tuna, and A. Teel, “Nominally robust model predictive control with state constraints,” IEEE Transactions on Automatic Control, oct. 2007.
    [27] I. Yeo, C. C. Liu, and E. J. Kim, “Predictive dynamic thermal management for multicore systems,” in Proceedings of the 45th annual Design Automation Conference, 2008, pp. 734–739.
    [28] J.-Y. Ou and Y.-S. Chen, “Qos optimization for thermal-aware cyber-physical systems,” in Proceedings of the 2011 ACM Symposium on Research in Applied Computation, 2011, pp. 13–19.
    [29] H.-H. Chu, T.-H. Tsai, and Y.-S. Chen, “Thermal-aware service rate adjustment for cyber-physical systems,” in 2012 International Conference on Anti-Counterfeiting, Security and Identification (ASID), Aug. 2012, pp. 1–5.
    [30] C. Lu, J. A. Stankovic, G. Tao, and S. H. Son, “Design and evaluation of a feedback control edf scheduling algorithm.” in Real-Time Systems Symposium, 1999, pp. 56–67.
    [31] D. D. Niz, L. Wrage, N. Storer, A. Rowe, and R. R. Rajkumar, “On resource overbooking in an unmanned aerial vehicle,” IEEE/ACM International Conference on Cyber-Physical Systems, pp. 97–106, 2012.
    [32] A. Khan, L. Sun, and E. C. Ifeachor, “Content-based video quality prediction for mpeg4 video streaming over wireless networks.” Journal of Multimedia, pp. 228–239, 2009.
    [33] C. L. Liu and J. W. Layland, “Scheduling algorithms for multiprogramming in a hard-real-time environment,” J. ACM, pp. 46–61, Jan. 1973.
    [34] R.McGowen, “Adaptive designs for power and thermal optimization,” in IEEE/ACM International Conference on Computer-Aided Design, Nov. 2005, pp. 118–121.
    [35] S. Baruah and N. Fisher, “The partitioned multiprocessor scheduling of sporadic task systems,” in Proceedings of the 26th IEEE International Real-Time Systems Symposium, 2005, pp. 321–329.
    [36] E. Horowitz, S. Sahni, and S. Anderson-Freed, Fundamentals of Data Structures in C. W. H. Freeman & Co., 1992.
    [37] M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP-Completeness. Freeman, 1979.
    [38] M. G. Lagoudakis, “The 0-1 knapsack problem – an introductory survey,” Tech. Rep., 1996.
    [39] Intel. Xeon processor 5000 sequence. [Online]. Available: http://ark.intel.com/search/advanced/?s=t&FamilyText=Intel%C2%AE%20Xeon%C2%AE%20Processor%205000%20Sequence&Embedded=true&CoreCountMin=4&CoreCountMax=4&ThreadCountMin=4&ThreadCountMax=4
    [40] C. Chakrabarti, “Instruction level power model of microcontrollers,” In IEEE International Symposium on Circuits and Systems, 1999, pp.76–79.
    [41] V. Tiwari, S. Malik, A. Wolfe, and M. T. chien Lee, “Instruction level power analysis and optimization of software,” Journal of VLSI Signal Processing, pp. 1–18, 1996.
    [42] V. Tiwari, S. Malik, and A. Wolfe, “Power analysis of embedded software: A first step towards software power minimization,” IEEE Transactions on VLSI Systems, pp. 437–445, 1994.
    [43] K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan, “Temperature-aware microarchitecture: Modeling and implementation,” ACM Transactions on Archit. and Code Optim., March 2004.
    [44] Hotspot 5.0 temperature modeling tool. [Online]. Available: http: //lava.cs.virginia.edu/HotSpot/

    QR CODE