簡易檢索 / 詳目顯示

研究生: 陳賜平
Szu-Ping Chen
論文名稱: 機器學習結合光罩圖案程序開發
Development of Mask Optimization Based on Machine Learning
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 方劭云
Shao-Yun Fang
蔡明忠
Ming-Jong Tsai
林建憲
Jian-Sian Lin
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2020
畢業學年度: 108
語文別: 中文
論文頁數: 90
中文關鍵詞: 光罩圖案最佳化次級解析輔助特徵光學鄰近修正機器學習動態隨機存取記憶體
外文關鍵詞: Mask Optimization, Sub-Resolution Assist Feature, Optical Proximity Correction, Machine Learning, DRAM
相關次數: 點閱:272下載:11
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於極紫外光微影系統技術尚未廣泛應用,目前生產線上仍大部分為ArF-193nm浸潤式微影系統,因此有許多研究者尋找此系統優化方法,其中可利用光源光罩最佳化程序搜尋最佳的光罩圖案設計與對應的最佳光源模態,進而改善微影成像品質的有效方法為本研究的研究方向。在本論文中,以DRAM光罩圖案設計為目標開發Interference Map Lithography Differential Evolution Optimization (IMLDEO)演算法,並結合機器學習加快演算法計算速度。在IMLDEO中利用IM分佈圖(Interference Map)設計潛在的次級解析輔助特徵,並對目標圖案進行光學鄰近修正,其中光學鄰近修正使用已開發之Model-based OPC(MBOPC),而MBOPC中有許多參數需調整,不同參數的設定將會影響MBOPC的結果,因此本研究使用模擬退火法來搜尋最佳參數,完成修正的光罩將由機器學習進行分群並預測目標函數,最後選擇較佳的光罩利用PROLITH微影光學軟體進行空間潛像之計算,如此程序持續至目標函數進入容忍範圍內。在機器學習模型中包含了稀疏自編碼器與k平均演算法,稀疏自編碼器的目的為壓縮並提取次級解析輔助特徵,再將壓縮後資料交由k平均演算法進行分群,主要目的為加快光罩圖案設計程序的速度,避免大量光罩進行光學模擬。最後使用光罩圖案測試本論文所開發的程序,量測其曝光成像品質,利用其結果分析結合機器學習前後之差異性,並與PROLITH微影光學軟體進行比較。在Contact_Hole與Line_Space_Array二維光罩測試中,結合機器學習成功加速了計算時間分別為20%與12%,而在曝光寬容度EL = 5%的情況下,聚焦深度分別為110 [nm]與142.5 [nm],均比PROLITH微影光學軟體所計算的75.6 [nm]與60.4 [nm]較大,代表本研究成功開發機器學習結合光罩圖案設計程序。


    Extreme ultraviolet lithography systems have not been widely used in the semiconductor production line; therefore, many researchers are searching for solutions to improve the lithography process quality of ArF-193nm immersion lithography systems. The source mask optimization (SMO) technique is well known for its capability to improve lithography imaging. This research aims to develop the interference map lithography differential evolution optimization (IMLDEO) for DRAM patterns based on ArF-193nm immersion lithography systems, and combined with machine learning to reduce running time. In IMLDEO, an interference map is calculated to design possible sub-resolution assist features (SRAFs), and apply model-based optical proximity correction (MBOPC) on main features. Since in the MBOPC many parameters need adjustments and different parameter settings will affect MPOPC results, this research uses simulated annealing to search for the best OPC parameters. After pattern correction, the mask will be grouped and predicted edge placement error (EPE) by sparse autoencoder and k-means algorithm. Finally, use PROLITH to estimate aerial images and repeat above-mentioned steps until the objective function satisfies stopping criterion. In the 2D contact hole and line space array mask tests, combined with machine learning successfully reduce running time by 20% and 12%. In the case of EL = 5%, the depth of focus is up to 110 [nm] and 142.5 [nm] respectively, better than 75.6 [nm] and 60.4 [nm] calculated by PROLITH. Based on these testing results, it explains that machine learning based IMLDEO is able to successfully apply to the DRAM pattern design.

    致謝 摘要 Abstract 目錄 圖目錄 表目錄 第一章 緒論 1.1 前言 1.2 文獻回顧 1.3 研究動機 1.4 論文架構 第二章 光罩圖案設計理論 2.1 簡介 2.2 投影式微影定義DRAM圖案 2.3 次級解析輔助特徵與光學鄰近修正原理 2.4 空間潛像品質量測參數 2.5 小結 第三章 結合DE與SA設計光罩圖案 3.1 簡介 3.2 基於DE光罩圖案修正程序 3.3 光罩圖案設計程序 3.4 一維光罩收斂測試 3.5 小結 第四章 機器學習結合光罩圖案設計 4.1 簡介 4.2 次級解析輔助圖案特徵擷取與分群 4.3 機器學習結合光罩圖案修正程序 4.4 二維光罩曝光結果及比較 4.5 小結 第五章 結論 5.1 結果分析比較 5.2 本研究之貢獻 5.3 本研究之未來方向 參考文獻

    [1] M. M. Waldrop, “The chips are down for Moore’s law,” Nature News, 530.7589: 144, 2016.
    [2] R. R. Schaller, "Moore's law: past, present and future," IEEE Spectrum, vol.34, no.6, pp 52-59, 1997.
    [3] Dick James, "TechInsights memory technology update form IEDM18." TechInsights. Access Apr. 11, 2019. https://www.techinsights.com/blog/techinsights-memory-technology-update-iedm18
    [4] C. Mack, "Fundamental Principles of Optical Lithography: The Science of Microfabrication", John Wiley & Sons, 2008.
    [5] A. K.-K. Wong. Resolution enhancement techniques in optical lithography. vol. 47. SPIE press, 2001.
    [6] Z. Zhimin, J. Lowes, V. Krishnamurthy, and A. Riojas, "High-fidelity lithography," in IEEE CSTIC, pp. 1-4, 2015.
    [7] R. Voelkel, "Micro-optics: enabling technology for illumination shaping in optical lithography," in Proc. SPIE 9052, p. 90521U, 2014.
    [8] S. Owa, and H. Nagasaka, "Advantage and feasibility of immersion lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol.3, no.1, pp. 97-104, 2004.
    [9] M. van den Brink, "Continuing to shrink: Next-generation lithography – Progress and prospects," in IEEE ISSCC Digest of Technical Papers, pp. 20-25, 2013.
    [10] Wang, W., Qin, L., Yang, Z., Li, Y., Mao, Z., & Zhang, Y. "Illumination optimization for lithography tools ope matching at 28 nm nodes." 2017 China Semiconductor Technology International Conference (CSTIC), IEEE, 2017.
    [11] Li, L., Islam, T., Liu, X., & Conklin, D. "A novel positive tone development method for defect reduction in the semiconductor 193nm immersion lithography process." Optical Microlithography XXXI, vol. 10587, 2018.
    [12] Ngunjiri, Johnpeter, et al. "High-speed atomic force microscopy studies of 193-nm immersion photoresists during tetramethylammonium hydroxide development." Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 17, no. 2 p.023506, 2018.
    [13] Doise, J., Bekaert, J., Chan, B. T., Hori, M., & Gronheid, R. "Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 2, p.023506, 2017.
    [14] Brandt, P., Wieland, M., Sardana, C., Ibbotson, D., & Fay, A. "Comparison between e-beam direct write and immersion lithography for 20-nm node," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 14, no .3, p.031214, 2015.
    [15] Kuo, Charles, Tsu-Jae King, and Chenming Hu. "A capacitorless double gate DRAM technology for sub-100-nm embedded and stand-alone memory applications." IEEE Transactions on Electron Devices 50.12: 2408-2416, 2003.
    [16] A. Das, “Hynix DRAM layout, process integration adapt to change,” UBM TechInsights, Dec. 2012
    [17] Liebmann, Lars W., et al. "Optimizing style options for subresolution assist features." Optical Microlithography XIV. Vol. 4346. International Society for Optics and Photonics, 2001.
    [18] Kan, Wei-Ming, et al. "Placement of Sub-Resolution Assist Features Based on a Genetic Algorithm." IEEE Access 7: 86064-86076, 2019.
    [19] Wang, Shibing, et al. "Efficient full-chip SRAF placement using machine learning for best accuracy and improved consistency." Optical Microlithography XXXI. Vol. 10587. International Society for Optics and Photonics, 2018.
    [20] S. K. Kim, "Aerial image formation of quantum lithography for diffraction limit," Curr. Appl. Phys., vol. 12, no. 6, pp. 1566-1574, Nov. 2012.
    [21] P. Gong, S. Liu, W. Lv, and X. Zhou, "Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels," J. Vac. Sci. Technol. B, vol. 30, no. 6, p. 06FG03, Nov. 2012.
    [22] Y. Watanabe, T. Kimura, T. Matsunawa, and S. Nojima, "Accurate lithography simulation model based on convolutional neural networks," in Proc. SPIE 10147, p. 101470K, 2017
    [23] T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, "SOCS based post-layout optimization for multiple patterns with light interference prediction," in Proc. SPIE 10148, p. 101480A, 2017.
    [24] R. H. Kim, O. Wood, M. Crouse, Y. Chen, V. Plachecki, S. Hsu, and K. Gronlund, "Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs," in Proc. SPIE 9776, p. 97761R, 2016.
    [25] H. H. Hopkins. "The concept of partial coherence in optics." Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences, vol. 208, no. 1093, pp. 263-277, 1951.
    [26] H. H. Hopkins, "On the Diffraction Theory of Optical Images, "Proceedings of the Royal Society of London. Series A, Mathematical and Physical Sciences, vol. 217, pp. 408-432, May 1953.
    [27] M. Mansuripur, "Classical optics and its applications" Cambridge University Press, 2002.
    [28] M. Born and E. Wolf, "Principles of optics: electromagnetic theory of propagation, interference and diffraction of light" Elsevier, 2013.
    [29] K. Yamazoe, Y. Sekine, M. Kawashima, M. Hakko, T. Ono and T. Honda, "Resolution enhancement by aerial image approximation with 2D-TCC," Photomask Technology 2007. Vol. 6730, p. 67302H, Oct. 2007.
    [30] M. Kawashima, K. Yamazoe, Y. Sekine, M. Hakko, M. Ohta and T. Honda, "Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique," Optical Microlithography XXI, vol. 6924, p. 69240F, Mar. 2008.
    [31] Kang, Young Seog, et al. "High-order distortion control using a computational prediction method for device overlay." Journal of Micro/Nanolithography, MEMS, and MOEMS 15.2: 021403, 2016.
    [32] O. T. Ghalehbeygi, G. Berriman, A. J. Fleming, and J. L. Holdsworth, "Optimization and simulation of exposure pattern for scanning laser lithography," in 2015 IEEE Conf. on Control Applications, pp. 1868-1873, 2015.
    [33] D. O. S. Melville et al., "Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems," J. Vac. Sci. Technol. B, vol. 29, no. 6, pp. 06FH04 1-14, Nov. 2011.
    [34] Sagiv, Amir, et al. "Aerial imaging for source mask optimization: mask and illumination qualification," in Proc. SPIE 7488, p. 74880Z, 2009.
    [35] Granik, Yuri. "Solving inverse problems of optical microlithography," in Proc. SPIE 5754, pp. 506-526, 2005.
    [36] Kapasi, S., Robertson, S., Biafore, J., & Smith, M. D. "Source-mask selection using computational lithography incorporating physical resist models," in Proc. SPIE 7275, p.72750W, 2009.
    [37] E. Hendrickx, A. Tritchkov, K. Sakajiri, Y. Granik, M. Kempsell, and G. Vandenberghe, "Hyper-NA imaging of 45nm node random CH layouts using inverse lithography," in Proc. SPIE 6924, p. 69240L, 2008.
    [38] Liebmann, Lars W., et al. "Optimizing style options for subresolution assist features." Optical Microlithography XIV. Vol. 4346. International Society for Optics and Photonics, 2001.
    [39] N. B. Cobb, A. Zakhor, and E. Miloslavsky. "Mathematical and CAD framework for proximity correction." In Proc. SPIE 2726, pp. 208-222, June 1996.
    [40] C. Mack, "Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation, FINLE Technologies.", Inc., Austin, TX, 1997.
    [41] Kan, Wei-Ming, “Development of Optical Proximity Correction Technique for DRAM Pattern.” Master Thesis, National Taiwan University of Science and Technology, 2019.
    [42] Storn, Rainer, and Kenneth Price. "Minimizing the real functions of the ICEC'96 contest by differential evolution." Proceedings of IEEE International Conference on Evolutionary Computation. IEEE, 1996.
    [43] Storn, Rainer, and Kenneth Price. "Differential evolution–a simple and efficient heuristic for global optimization over continuous spaces." Journal of global optimization 11.4: 341-359, 1997.
    [44] Das, Swagatam, and Ponnuthurai Nagaratnam Suganthan. "Differential evolution: A survey of the state-of-the-art." IEEE transactions on evolutionary computation 15.1: 4-31, 2010
    [45] Kirkpatrick, Scott, C. Daniel Gelatt, and Mario P. Vecchi. "Optimization by simulated annealing." Science 220.4598: 671-680, 1983
    [46] Locatelli, Marco. "Convergence of a simulated annealing algorithm for continuous global optimization." Journal of Global Optimization 18.3: 219-233, 2000.
    [47] Metropolis, N., Rosenbluth, A. W., Rosenbluth, M. N., Teller, A. H., & Teller, E. "Equation of state calculations by fast computing machines." The journal of chemical physics 21.6: 1087-1092, 1953.
    [48] P. Yu, D. Z. Pan and C. A. Mack. "Fast lithography simulation under focus variations for OPC and layout optimizations." Design and Process Integration for Microelectronic Manufacturing IV, vol. 6156, 2006.
    [49] P. Yu, S. X. Shi and D. Z. Pan. "True process variation aware optical proximity correction with variational lithography modeling and model calibration." Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 6, no.3 ,p.031004, 2007.
    [50] Y. H. Su, Y. C. Huang, L. C. Tsai, Y. W. Chang and S. Banerjee, "Fast lithographic mask optimization considering process variation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no.8, pp. 1345-1357, Aug. 2016.
    [51] Kingma, Diederik P., and Max Welling. "Auto-encoding variational bayes." arXiv preprint arXiv:1312.6114, 2013.
    [52] Makhzani, Alireza, and Brendan Frey. "K-sparse autoencoders." arXiv preprint arXiv:1312.5663, 2013.
    [53] Ng, Andrew. "Sparse autoencoder." CS294A Lecture notes 72.2011: 1-19, 2011.
    [54] Olshausen, Bruno A., and David J. Field. "Sparse coding with an overcomplete basis set: A strategy employed by V1?." Vision research 37.23: 3311-3325, 1997.
    [55] Nair, Vinod, and Geoffrey E. Hinton. "3D object recognition with deep belief nets." Advances in neural information processing systems. 2009.
    [56] Zeng, N., Zhang, H., Song, B., Liu, W., Li, Y., & Dobaie, A. M. "Facial expression recognition via learning deep sparse autoencoders." Neurocomputing 273: 643-649, 2018.
    [57] J. MacQueen, "Some methods for classification and analysis of multivariate observations." Proceedings of the fifth Berkeley symposium on mathematical statistics and probability. Vol. 1. No. 14. 1967.

    QR CODE