簡易檢索 / 詳目顯示

研究生: 郭鎧瑜
Kai-Yu Kuo
論文名稱: 基於適應性預測模型之光罩圖案設計
Mask Pattern Design Based on Fitness Prediction Model
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 方劭云
Shao-Yun Fang
徐勝均
Sheng-Dong Xu
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2022
畢業學年度: 110
語文別: 中文
論文頁數: 99
中文關鍵詞: 微影製程次級解析輔助特徵機器學習熱點分析修正
外文關鍵詞: Lithography, Sub-Assist Resolution Feature, Machine Learning, Hotspot Analysis Correction Procedure
相關次數: 點閱:388下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在記憶體DRAM製造的過程中,透過微影製程技術將設計之光罩轉印至光阻為一項至關重要的技術,但隨著線寬持續微縮,193nm浸潤式微影製程上達到物理極限,必須透過解析度增強技術(Resolution Enhancement Technology, RET)來縮小製程尺寸。本文主要使用之解析度增強技術為放置次級解析輔助特徵(Sub-Resolution Assist Feature, SRAF)進行光罩最佳化,透過差分進化演算法(Differential Evolution, DE)針對目標圖案放置輔助特徵,並使用KLA Tencor微影模擬軟體PROLITH計算空間潛像(Aerial Image, AI),依照空間潛像量測指標計算適應性做為演算法更新之依據,而其中PROLITH所花費計算時間較長,導致光罩最佳化流程效率較低,因此本文開發出CNN適應性預測模型並整合至DE演算法,針對二維光罩Line Space Array (LSA)與Contact Hole (CH)進行最佳化,機器學習整合DE演算法在不損失太多線寬誤差的情況下,節省演算法30%計算時間,並且在曝光容忍度(Exposure Latitude, EL) = 5%下,聚焦深度(Depth of Focus, DOF)相較於傳統DE演算法提升4 nm。利用Grad-CAM手法將模型參數回推以建構輔助特徵圖案之熱力圖分析其輔助特徵潛在曝光之位置,隨後透過本文所開發之修正程序對熱點位置之輔助特徵進行局部重新放置,本文針對兩張line space array與contact hole輔助特徵放置圖案進行測試,經過修正後之輔助特徵在光阻圖案上皆沒有發生曝光情況,並且平均線寬誤差皆低於5%,另外在EL = 5%時,DOF相較於未修正前皆有明顯的提升,表示本文成功透過熱點分析修正程序修正輔助特徵曝光情況並增進其曝光品質。


    In the process of DRAM manufacturing, transferring the designed mask to the photoresist through the lithography process is a crucial technology, but as the line width continues to shrink, 193nm immersion lithography reaches the physical limit, the process size must be reduced through resolution enhancement technology (RET). The RET mainly used in this paper is placing the sub-resolution assist feature (SRAF) for mask optimization, and use the differential evolution (DE) algorithm to place the SRAF for the target pattern, use KLA Tencor PROLITH to calculate the aerial image, and derive the fitness according to the measurement indicator of the aerial image for the update of DE algorithm, but PROLITH spends a long time to calculate, which leads to the low efficiency of mask optimization, so this paper develops a CNN fitness prediction model and integrates to DE algorithm to optimize the 2D mask Line Space Array (LSA) and Contact Hole (CH). Machine learning and DE integration saves 30% calculation time without losing much line width error, and the depth of focus (DOF) increased 4 nm compared with the traditional DE algorithm under the exposure latitude = 5%. Using Grad-CAM for constructing heat map of the SRAF pattern to analyze the hotspots, and then relocate the SRAF at the hotspot position through the correction procedure developed in this paper. Use two LSA and two CH patterns for the correction procedure testing. SRAFs are not exposed on the resist after correction, and the average line width error is less than 5%. In addition, DOF has been improved compared with the uncorrected, indicating that this paper successfully corrected the SRAF exposure and improved its aerial image quality through the hotspot analysis correction procedure.

    致謝 ..................................................................................................................................... III 摘要 ..................................................................................................................................... IV ABSTRACT ......................................................................................................................... V 目錄 ..................................................................................................................................... VI 圖目錄 .............................................................................................................................. VIII 表目錄 ................................................................................................................................. XI 第一章 緒論 ......................................................................................................................... 1 1.1 前言 ........................................................................................................................ 1 1.2 文獻回顧 ................................................................................................................ 4 1.3 研究動機 ................................................................................................................ 7 1.4 論文架構 ................................................................................................................ 9 第二章 光罩圖案設計 ....................................................................................................... 11 2.1 簡介 ...................................................................................................................... 11 2.2 次級解析輔助特徵與光學鄰近修正原理 .......................................................... 11 2.3 差分進化演算法設計光罩圖案 .......................................................................... 16 2.4 OPC參數及空間潛像量測參數 ....................................................................... 25 2.5 小結 ...................................................................................................................... 31 第三章 CNN模型設計次級解析輔助圖案 ...................................................................... 32 3.1 簡介 ...................................................................................................................... 32 3.2 適應性預測模型 .................................................................................................. 32 3.3 模型訓練與測試 .................................................................................................. 41 3.4 模型結合DE演算法 ........................................................................................... 50 3.5 小結 ...................................................................................................................... 60 VII 第四章 熱點修正程序 ....................................................................................................... 61 4.1 簡介 ...................................................................................................................... 61 4.2 熱點分析 .............................................................................................................. 61 4.3 次級解析輔助圖案演算法修正程序 .................................................................. 66 4.4 輔助圖案設計收斂測試 ...................................................................................... 72 4.5 小結 ...................................................................................................................... 79 第五章 結論 ....................................................................................................................... 80 5.1 模擬結果分析與比較 .......................................................................................... 80 5.2 本文研究之貢獻 .................................................................................................. 81 5.3 本文研究之未來方向 .......................................................................................... 81 參考文獻 ............................................................................................................................. 82

    [1] C. LÉCuyer, "Driving Semiconductor Innovation: Moore’s Law at Fairchild and Intel," Enterprise & Society, vol. 23, no. 1, pp. 133-163, 2022, doi: 10.1017/eso.2020.38.
    [2] C. Edwards, "Moore's Law: what comes next" Communications of the ACM, vol. 64, no. 2, pp. 12-14, 2021.
    [3] R. Bez, P. Fantini, and A. Pirovano, "1 - Historical review of semiconductor memories," in Semiconductor Memories and Systems, A. Redaelli and F. Pellizzer Eds.: Woodhead Publishing, 2022, pp. 1-26.
    [4] A. Yen, "Rayleigh or Abbe? Origin and naming of the resolution formula of microlithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 19, no. 4, p. 040501, 2020.
    [5] M. F. Heertjes et al., "Control of wafer scanners: Methods and developments," in 2020 American Control Conference (ACC), 2020: IEEE, pp. 3686-3703.
    [6] N. N. Balan, V. V. Ivanov, A. V. Kuzovkov, E. V. Sokolova, and E. S. Shamin, "Basic approaches to simulation of resist mask formation in computational lithography," Modern electronic materials, vol. 6, no. 1, pp. 37-45, 2020.
    [7] Y. Wu and Z. Xiao, "The Recent Progress of Lithography Machine and the State-of-art Facilities," Highlights in Science, Engineering and Technology, vol. 5, pp. 155-165, 2022.
    [8] M. Colburn, D. N. Dunn, and M. A. Guillorn, "Lithography, Etch, and Silicon Process Technology," in Microlithography: CRC Press, 2020, pp. 1-40.
    [9] Y. Fang and Y. He, "Resolution technology of lithography machine," Journal of Physics: Conference Series, vol. 2221, no. 1, p. 012041, 2022/05/01 2022, doi:
    83
    10.1088/1742-6596/2221/1/012041.
    [10] Y. Kwon, Y. Song, and Y. Shin, "Optical proximity correction using bidirectional recurrent neural network (BRNN)," in Design-Process-Technology Co-optimization for Manufacturability XIII, 2019, vol. 10962: SPIE, pp. 68-75.
    [11] Y. Hou and Q. Wu, "Optical Proximity Correction, Methodology and Limitations," in 2021 China Semiconductor Technology International Conference (CSTIC), 14-15 March 2021 2021, pp. 1-5, doi: 10.1109/CSTIC52283.2021.9461507.
    [12] Y. Pan and X. Ma, "Informatics-based computational lithography for phase-shifting mask optimization," Opt. Express, vol. 30, no. 12, pp. 21282-21294, 2022, doi: 10.1364/OE.459095.
    [13] N. Yonemaru, K. Matsui, Y. Kojima, T. Nagatomo, and M. Yamana, "A novel" high-transmission" phase shift mask for ArF lithographic performance enhancement," in Photomask Technology 2020, 2020, vol. 11518: SPIE, pp. 22-30.
    [14] M. Hakko and K. Suzuki, "Resolution enhancement with source-wavelength optimization according to illumination angle in optical lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 19, no. 4, p. 043201, 2020.
    [15] P. Gao, X. Su, W. Shi, Y. Wei, and T. Ye, "Sub-Resolution Assist Feature Cleanup Based on Grayscale Map," IEEE Transactions on Semiconductor Manufacturing, vol. 32, no. 4, pp. 583-588, 2019.
    [16] M. Oka, S. Suzuki, K. Kawahara, K. Tsuchiya, K. Ogawa, and H. Ohnuma, "Robust OPC technique using aerial image parameter," in Photomask and Next-Generation Lithography Mask Technology XIII, 2006, vol. 6283: SPIE, pp. 838-846.
    [17] R. Viswanathan, J. T. Azpiroz, and P. Selvam, "Process optimization through model based SRAF printing prediction," in Optical Microlithography XXV, 2012, vol.
    84
    8326: SPIE, pp. 437-446.
    [18] W. Ciou et al., "Sub-resolution assist feature placement with generative adversarial network," Journal of Micro/Nanopatterning, Materials, and Metrology, vol. 20, no. 4, p. 041209, 2021.
    [19] B. Zhou, A. Khosla, A. Lapedriza, A. Oliva, and A. Torralba, "Learning deep features for discriminative localization," in Proceedings of the IEEE conference on computer vision and pattern recognition, 2016, pp. 2921-2929.
    [20] R. R. Selvaraju, M. Cogswell, A. Das, R. Vedantam, D. Parikh, and D. Batra, "Grad-cam: Visual explanations from deep networks via gradient-based localization," in Proceedings of the IEEE international conference on computer vision, 2017, pp. 618-626.
    [21] A. Chattopadhay, A. Sarkar, P. Howlader, and V. N. Balasubramanian, "Grad-cam++: Generalized gradient-based visual explanations for deep convolutional networks," in 2018 IEEE winter conference on applications of computer vision (WACV), 2018: IEEE, pp. 839-847.
    [22] C. Mack, Fundamental principles of optical lithography: the science of microfabrication. John Wiley & Sons, 2008.
    [23] S. Manakov, "Nonlinear fraunhofer diffraction," Zh. Eksp. Teor. Fiz, vol. 65, no. 4, p. 10, 1973.
    [24] P. S. Epstein and P. Ehrenfest, "The quantum theory of the Fraunhofer diffraction," Proceedings of the National Academy of Sciences, vol. 10, no. 4, pp. 133-139, 1924.
    [25] S.-K. Kim, "Aerial image formation of quantum lithography for diffraction limit," Current Applied Physics, vol. 12, no. 6, pp. 1566-1574, 2012.
    [26] H. Fukuda, K. T. Hattori, and T. Hagiwara, "Impact of acid/quencher behavior on
    85
    lithography performance," in Optical Microlithography XIV, 2001, vol. 4346: SPIE, pp. 319-330.
    [27] K. Kato et al., "Advanced mask rule check (MRC) tool," in Photomask and Next-Generation Lithography Mask Technology XIII, 2006, vol. 6283: SPIE, pp. 153-163.
    [28] K. Kato et al., "Mask rule check using priority information of mask patterns," in Photomask Technology 2007, 2007, vol. 6730: SPIE, pp. 1420-1429.
    [29] R. Storn and K. Price, "Differential Evolution - A Simple and Efficient Heuristic for Global Optimization over Continuous Spaces," Journal of Global Optimization, vol. 11, pp. 341-359, 01/12 1997, doi: 10.1023/A:1008202821328.
    [30] S. Das and P. N. Suganthan, "Differential evolution: A survey of the state-of-the-art," IEEE transactions on evolutionary computation, vol. 15, no. 1, pp. 4-31, 2010.
    [31] R. Storn and K. Price, "Minimizing the real functions of the ICEC'96 contest by differential evolution," in Proceedings of IEEE international conference on evolutionary computation, 1996: IEEE, pp. 842-844.
    [32] U. K. Chakraborty, Advances in differential evolution. Springer, 2008.
    [33] S. Mirjalili, "Evolutionary algorithms and neural networks," in Studies in computational intelligence, vol. 780: Springer, 2019.
    [34] T. V. Mathew, "Genetic algorithm," Report submitted at IIT Bombay, 2012.
    [35] W.-M. Kan et al., "Placement of Sub-Resolution Assist Features Based on a Genetic Algorithm," IEEE Access, vol. 7, pp. 86064-86076, 2019.
    [36] L. S. Melvin III and M. Rieger, "Model-based methodology for reducing OPC output pattern complexity," in 23rd Annual BACUS Symposium on Photomask Technology, 2003, vol. 5256: SPIE, pp. 238-245.
    [37] S. Turner and F. Cerrina, "Optimization of aerial image quality," Journal of Vacuum
    86
    Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 11, no. 6, pp. 2446-2451, 1993.
    [38] E. van Setten et al., "Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography," in International Conference on Extreme Ultraviolet Lithography 2017, 2017, vol. 10450: SPIE, pp. 144-155.
    [39] C. A. Mack, "Using the normalized image log-slope," Microlithography World, vol. 23, 2001.
    [40] T. Kozawa and S. Tagawa, "Relationship between Normalized Image Log Slope and Chemical Gradient in Chemically Amplified Extreme Ultraviolet Resists," Japanese journal of applied physics, vol. 49, no. 6S, p. 06GF02, 2010.
    [41] P. Gupta, "What is process window?," ACM SIGDA Newsletter, vol. 40, no. 8, pp. 1-1, 2010.
    [42] S. Okazaki, "Resolution limits of optical lithography," Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 9, no. 6, pp. 2829-2833, 1991.
    [43] R. Von Buenau, G. Owen, and R. Pease, "Depth of focus enhancement in optical lithography," Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 10, no. 6, pp. 3047-3054, 1992.
    [44] S.-C. Wang, Interdisciplinary computing in Java programming. Springer Science & Business Media, 2003.
    [45] O. I. Abiodun, A. Jantan, A. E. Omolara, K. V. Dada, N. A. Mohamed, and H. Arshad, "State-of-the-art in artificial neural network applications: A survey," Heliyon, vol. 4, no. 11, p. e00938, 2018.
    [46] Z. Zhang, K. Zhang, and A. Khelifi, Multivariate time series analysis in climate
    87
    and environmental research. Springer, 2018.
    [47] K. Shiruru, "AN INTRODUCTION TO ARTIFICIAL NEURAL NETWORK," International Journal of Advance Research and Innovative Ideas in Education, vol. 1, pp. 27-30, 09/01 2016.
    [48] Z. Li, F. Liu, W. Yang, S. Peng, and J. Zhou, "A survey of convolutional neural networks: analysis, applications, and prospects," IEEE transactions on neural networks and learning systems, 2021.
    [49] S.-C. B. Lo, H.-P. Chan, J.-S. Lin, H. Li, M. T. Freedman, and S. K. Mun, "Artificial convolution neural network for medical image pattern recognition," Neural networks, vol. 8, no. 7-8, pp. 1201-1214, 1995.
    [50] S. Albawi, T. A. Mohammed, and S. Al-Zawi, "Understanding of a convolutional neural network," in 2017 international conference on engineering and technology (ICET), 2017: Ieee, pp. 1-6.

    無法下載圖示 全文公開日期 2024/09/16 (校內網路)
    全文公開日期 2024/09/16 (校外網路)
    全文公開日期 2024/09/16 (國家圖書館:臺灣博碩士論文系統)
    QR CODE