簡易檢索 / 詳目顯示

研究生: 李金陽
Jin-Yang Li
論文名稱: 基於光學卷積運算之對準誤差量測
Diffraction Based Overlay Metrology Integrated with Optical Convolution Neural Network
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 方劭云
Shao-Yun Fang
郭永麟
Yong-Lin Kuo
徐勝均
Sheng-Dong Xu
郭鴻飛
Hung-Fei Kuo
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2021
畢業學年度: 109
語文別: 中文
論文頁數: 91
中文關鍵詞: 對準誤差光學神經網路基於繞射的對準誤差量測光學卷積
外文關鍵詞: Overlay, Optical Neural Network, DBO, Optical Convolution
相關次數: 點閱:255下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 積體電路的飛速發展有賴於其中的關鍵製程微影技術不斷提高的工藝水平,而對準誤差(Overlay)作爲微影製程的重要指標因素,成爲需要不斷精進的控制對象,同時也需要做到愈發追求極緻的精準量測。目前,基於繞射的對準誤差量測技術(Diffraction Based Overlay, DBO)因其非破壞性、穩定等優點在半導體產業被廣泛應用。本文因應現有DBO量測的部分缺陷,結合光學神經網路技術,建立整合光學卷積層的混合卷積神經網路,針對DBO量測架構進行對準誤差數值預測,綜合提升DBO的量測表現。所設計的光學卷積運算模型基於卷積定理開發,與DBO量測模型相連,能夠直接將DBO輸出之瞳孔影像接入卷積運算網路,並結合後續的電腦端神經網路完成對準誤差預測。通過實驗測試,本文所設計的模型在模擬運算中90筆測試資料預測值均方根誤差為0.0168,精確度要求誤差±0.02時之預測準確率為80%,與傳統卷積神經網路相當,并且在結合光學仿真軟體的仿真測試中,模型整體的預測準確率也能達到70%。另外,光學卷積模型同時也是實現光學線性互聯結構的基礎單元,具有權重賦值與點陣求和的功能。對於該模型數學過程之程式的建立,將有助於後續開發基於此結構的神經網路或光學架構,並擴展到更多的精密量測應用場景中。


    The development of integrated circuits depends on the continuous improvement of photolithography. As an important indicator factor of the lithography process, the overlay has become a control object that needs continuous improvement, and it also needs to be improved. Pursue the ultimate precision measurement. At present, diffraction-based overlay (DBO) is widely used in the semiconductor industry due to its non-destructive, stable and other advantages. In this research, in response to some of the defects of DBO, combined with optical neural network technology, a hybrid convolution neural network integrated with optical convolutional layer is established, and overlay numerical prediction is performed on the DBO measurement framework to comprehensively improve the performance of DBO. The designed optical convolution model is developed based on the convolution theorem. The optical convolution model will be able to connect with the DBO model directly, performing convolution processing on the pupil image output from DBO structure, then complete the prediction on the computer with the following network. The model designed in this paper has a root mean square error of 0.0168 in the prediction of 90 testing data in the simulation experiment, and the accuracy of the prediction is 80% with a precision at ±0.02, which is equivalent to the traditional convolutional neural network. And in the simulation test using optical simulation software, the overall prediction accuracy of the model can also reach 70%. In addition, the optical convolution model is also the basic unit to realize the optical linear interconnection structure, with the functions of weighting and matrix summation. The establishment of the formula for the mathematical process of the model will help the subsequent development of neural networks or optical architectures based on this structure, and expand it to more metrology application scenarios.

    致謝 I 摘要 II Abstract III 目录 IV 圖目錄 VI 表目錄 IX 第一章 緒論 1 1.1 前言 1 1.2 研究背景 3 1.3 文獻回顧 6 1.4 研究動機 11 1.5 論文架構 13 第二章 光學運算架構 15 2.1 簡介 15 2.2 光學神經網路 15 2.3 卷積運算之光學實現 22 2.4 對準誤差瞳孔影像 30 2.5 小結 33 第三章 光學卷積核 34 3.1 簡介 34 3.2 光學卷積核預處理 35 3.3 卷積核實現與調試 43 3.4 瞳孔影像處理 48 3.5 小結 53 第四章 對準誤差量測計算 54 4.1 簡介 54 4.2 光學卷積演算模型最佳化 54 4.3 整合光學CNN的DBO量測 59 4.4 光學與電子CNN的量測比較 64 4.5 小結 71 第五章 結論 73 5.1 實驗結果分析 73 5.2 研究貢獻 74 5.3 未來展望 74 參考文獻 76

    [1] M. Van Rossum, "Integrated Circuits," in Encyclopedia of Condensed Matter Physics, F. Bassani, G. L. Liedl, and P. Wyder Eds. Oxford: Elsevier, 2005, pp. 394-403.
    [2] J. Mulkens et al. "Holistic optimization architecture enabling sub-14-nm projection lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, no. 1, p. 011006, 2014.
    [3] P. Vanoppen et al., "Lithographic scanner stability improvements through advanced metrology and control," in Optical microlithography XXIII, 2010, vol. 7640: International Society for Optics and Photonics, p. 764010.
    [4] Y. Blancquaert et al. "Performance of ASML YieldStar µDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control," in Metrology, Inspection, and Process Control for Microlithography XXVII, 2013, vol. 8681: International Society for Optics and Photonics, p. 86811F.
    [5] S.-C. Horng, "Compensating modeling overlay errors using the weighted least-squares estimation," IEEE Transactions on Semiconductor Manufacturing, vol. 27, no. 1, pp. 60-70, 2013.
    [6] B. Hoefflinger, "IRDS—International Roadmap for Devices and Systems, Rebooting Computing, S3S," in NANO-CHIPS 2030: Springer, 2020, pp. 9-17.
    [7] H.-J. H. Smilde et al., "Evaluation of a novel ultra small target technology supporting on-product overlay measurements," in Metrology, Inspection, and Process Control for Microlithography XXVI, 2012, vol. 8324: International Society for Optics and Photonics, p. 83241A.
    [8] B. Schulz et al. "Meeting overlay requirements for future technology nodes with in-die overlay metrology," in Metrology, Inspection, and Process Control for Microlithography XXI, 2007, vol. 6518: International Society for Optics and Photonics, p. 65180E.
    [9] J. Maas et al., "YieldStar: a new metrology platform for advanced lithography control," in 27th European Mask and Lithography Conference, 2011, vol. 7985: International Society for Optics and Photonics, p. 79850H.
    [10] W. Yang et al., "Novel diffraction-based spectroscopic method for overlay metrology," in Metrology, Inspection, and Process Control for Microlithography XVII, 2003, vol. 5038: International Society for Optics and Photonics, pp. 200-207.
    [11] Z.-C. Lin and W.-J. Wu, "Multiple linear regression analysis of the overlay accuracy model," IEEE transactions on Semiconductor Manufacturing, vol. 12, no. 2, pp. 229-237, 1999.
    [12] M. Kujawińska et al. "Optical metrology: the long and unstoppable way to become an outstanding measuring tool," presented at the Speckle 2018: VII International Conference on Speckle Metrology, 2018.
    [13] O. Inoue and K. Hasumi, "Review of scanning electron microscope-based overlay measurement beyond 3-nm node device," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 18, no. 02, 2019, doi: 10.1117/1.Jmm.18.2.021206.
    [14] C.-M. Ke et al. "Accuracy of diffraction-based and image-based overlay," in Metrology, Inspection, and Process Control for Microlithography XXV, 2011, vol. 7971: International Society for Optics and Photonics, p. 79711E.
    [15] N.-N. Zhang et al. "Image-based overlay mark shrinkage study for advanced technology node," in 2016 China Semiconductor Technology International Conference (CSTIC), 2016: IEEE, pp. 1-3.
    [16] V. A. Ukraintsev et al., "Matching between simulations and measurements as a key driver for reliable overlay target design," presented at the Metrology, Inspection, and Process Control for Microlithography XXXII, 2018.
    [17] J. Xu et al., "Diffraction-based and image-based overlay evaluation for advanced technology node," in 2017 China Semiconductor Technology International Conference (CSTIC), 2017: IEEE, pp. 1-4.
    [18] V. A. Ukraintsev et al., "Multi-wavelength approach towards on-product overlay accuracy and robustness," presented at the Metrology, Inspection, and Process Control for Microlithography XXXII, 2018.
    [19] M. Matsunobu et al., Novel diffraction-based overlay metrology utilizing phase-based overlay for improved robustness (SPIE Advanced Lithography). SPIE, 2021.
    [20] E. Schmitt-Weaver et al., "Overlay improvements using a real time machine learning algorithm," in Metrology, Inspection, and Process Control for Microlithography XXVIII, 2014, vol. 9050: International Society for Optics and Photonics, p. 90501S.
    [21] W. Jin, J. Bao, and S. Li, "Optical metrology using support vector machine with profile parameter inputs," ed: Google Patents, 2009.
    [22] J. Zhu et al. "Identification and reconstruction of diffraction structures in optical scatterometry using support vector machine method," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 12, no. 1, p. 013004, 2013.
    [23] C. Hwang et al., "Smart overlay metrology pairing adaptive deep learning with the physics-based models used by a lithographic apparatus," in Optical Microlithography XXXI, 2018, vol. 10587: International Society for Optics and Photonics, p. 105870B.
    [24] O. R. Wood et al., "EUV resolution enhancement techniques (RETs) for k10.4 and below," presented at the Extreme Ultraviolet (EUV) Lithography VI, 2015.
    [25] M. Singha Roy et al. "Improving Photoplethysmographic Measurements Under Motion Artifacts Using Artificial Neural Network for Personal Healthcare," IEEE Transactions on Instrumentation and Measurement, vol. 67, no. 12, pp. 2820-2829, 2018, doi: 10.1109/tim.2018.2829488.
    [26] N. Jia and E. Y. Lam, "Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis," Journal of Optics, vol. 12, no. 4, 2010, doi: 10.1088/2040-8978/12/4/045601.
    [27] H. Zhang et al. "A UAV Detection Algorithm Based on an Artificial Neural Network," IEEE Access, vol. 6, pp. 24720-24728, 2018, doi: 10.1109/access.2018.2831911.
    [28] V. A. Ukraintsev et al. "7/5nm logic manufacturing capabilities and requirements of metrology," presented at the Metrology, Inspection, and Process Control for Microlithography XXXII, 2018.
    [29] X. Ma et al. "Fast lithography aerial image calculation method based on machine learning," Appl Opt, vol. 56, no. 23, pp. 6485-6495, Aug 10 2017, doi: 10.1364/AO.56.006485.
    [30] J. P. Cain et al., "Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets," presented at the Metrology, Inspection, and Process Control for Microlithography XXIX, 2015.
    [31] J. P. Cain et al., "Target design optimization for overlay scatterometry to improve on-product overlay," presented at the Metrology, Inspection, and Process Control for Microlithography XXIX, 2015.
    [32] X. Sui et al. "A review of optical neural networks," IEEE Access, vol. 8, pp. 70773-70783, 2020.
    [33] S. C. Huang and B. H. Do, "Radial Basis Function Based Neural Network for Motion Detection in Dynamic Scenes," IEEE Trans Cybern, vol. 44, no. 1, pp. 114-25, Jan 2014, doi: 10.1109/TCYB.2013.2248057.
    [34] H. Markram et al., "Reconstruction and Simulation of Neocortical Microcircuitry," Cell, vol. 163, no. 2, pp. 456-92, Oct 8 2015, doi: 10.1016/j.cell.2015.09.029.
    [35] P. Chaisakul et al., "Integrated germanium optical interconnects on silicon substrates," Nature Photonics, vol. 8, no. 6, pp. 482-488, 2014, doi: 10.1038/nphoton.2014.73.
    [36] W. Hu et al. "Crosstalk analysis of aligned and misaligned free-space optical interconnect systems," JOSA A, vol. 27, no. 2, pp. 200-205, 2010.
    [37] R. E. Uhrig, "Introduction to artificial neural networks," in Proceedings of IECON'95-21st Annual Conference on IEEE Industrial Electronics, 1995, vol. 1: IEEE, pp. 33-37.
    [38] T. Ferreira de Lima et al. "Progress in neuromorphic photonics," Nanophotonics, vol. 6, no. 3, pp. 577-599, 2017, doi: 10.1515/nanoph-2016-0139.
    [39] J. J. Hopfield, "Neural networks and physical systems with emergent collective computational abilities," Proc Natl Acad Sci U S A, vol. 79, no. 8, pp. 2554-8, Apr 1982, doi: 10.1073/pnas.79.8.2554.
    [40] J. Ohta et al. "A new approach to a GaAs/AlGaAs optical neurochip with three layered structure," in Proc. IJCNN International Joint Conference on Neural Networks, 1989, vol. 2, pp. 477-482.
    [41] Y. Zuo et al., "All-optical neural network with nonlinear activation functions," Optica, vol. 6, no. 9, 2019, doi: 10.1364/optica.6.001132.
    [42] T. Lu et al. "Two-dimensional programmable optical neural network," Applied optics, vol. 28, no. 22, pp. 4908-4913, 1989.
    [43] B. Wang et al. "Realization of parallel subtraction using LCLV in optical neural network," in Electro-Optic and Second Harmonic Generation Materials, Devices, and Applications, 1996, vol. 2897: International Society for Optics and Photonics, pp. 89-94.
    [44] A. Zanutta et al. "Photopolymeric films with highly tunable refractive index modulation for high precision diffractive optics," Optical Materials Express, vol. 6, no. 1, 2015, doi: 10.1364/ome.6.000252.
    [45] D. Psaltis et al. "Holography in artificial neural networks," Landmark Papers on Photorefractive Nonlinear Optics, pp. 541-546, 1995.
    [46] X. Lin et al., "All-optical machine learning using diffractive deep neural networks," Science, vol. 361, no. 6406, pp. 1004-1008, 2018.
    [47] Y. Luo et al., "Design of task-specific optical systems using broadband diffractive neural networks," Light Sci Appl, vol. 8, p. 112, 2019, doi: 10.1038/s41377-019-0223-1.
    [48] J. Bueno et al., "Reinforcement learning in a large-scale photonic recurrent neural network," Optica, vol. 5, no. 6, 2018, doi: 10.1364/optica.5.000756.
    [49] Y. Jin et al. "Vector-matrix multiplication in ternary optical computer," International Journal of Numerical Analysis & Modeling, vol. 9, no. 2, 2012.
    [50] Y. Chen, "4f-type optical system for matrix multiplication," Optical Engineering, vol. 32, no. 1, pp. 77-79, 1993.
    [51] J. Chang et al. "Hybrid optical-electronic convolutional neural networks with optimized diffractive optics for image classification," Scientific reports, vol. 8, no. 1, pp. 1-10, 2018.
    [52] S. Heidenreich et al. "Alternative methods for uncertainty evaluation in EUV scatterometry," in Modeling Aspects in Optical Metrology IV, 2013, vol. 8789: International Society for Optics and Photonics, p. 87890T.
    [53] M. Moharam et al. "Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings," JOSA a, vol. 12, no. 5, pp. 1068-1076, 1995.
    [54] T. K. Gaylord and M. Moharam, "Analysis and applications of optical diffraction by gratings," Proceedings of the IEEE, vol. 73, no. 5, pp. 894-937, 1985.
    [55] C.-H. Su et al. "Enhancement of Diffraction-Based Overlay Model for Overlay Target With Asymmetric Sidewall," IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 3, pp. 373-382, 2020.
    [56] C. Suresh et al. "A Comparative Analysis of Image Scaling Algorithms," International Journal of Image, Graphics and Signal Processing, vol. 5, no. 5, pp. 55-62, 2013, doi: 10.5815/ijigsp.2013.05.07.

    無法下載圖示 全文公開日期 2024/09/09 (校內網路)
    全文公開日期 2024/09/09 (校外網路)
    全文公開日期 2024/09/09 (國家圖書館:臺灣博碩士論文系統)
    QR CODE