簡易檢索 / 詳目顯示

研究生: 蘇俊翰
Chun-Han Su
論文名稱: 應用於數位微影之莫爾條紋對位量測技術開發
Development of Optical Alignment Technique Based on Moire Fringe for Digital Lithography
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 林建憲
Jian-Shian Lin
郭鴻飛
Hung-Fei Kuo
方劭云
Shao-Yun Fang
蔡明忠
Ming-Jong Tsai
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2020
畢業學年度: 108
語文別: 中文
論文頁數: 87
中文關鍵詞: 數位微影對位量測莫爾條紋位移對準誤差
外文關鍵詞: Digital Lithography, Optical Alignment, Moire Fringe, Linear Displacement, Angular Displacement
相關次數: 點閱:267下載:16
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在線路圖案的定義過程,無論是使用什麼型態的曝光系統,對準量測技術皆為關鍵的製程步驟,在曝光之前能夠準確的將載台進行可以有效減少製程的重工率,進而降低製程成本。本實驗室在先前的研究過程中,發現使用數位微影系統定義的光阻圖案結果與能量規劃演算結果具有一定程度的對準誤差 (Overlay) ,分析後發現基材載台與光學引擎載台偏移為主要誤差因子,因此本文提出基於莫爾條紋 (Moiré Fringe) 的對位量測方法,應用於數位微影系統的基材載台與光學引擎載台對位,根據本文所設計光學對位模組,可量測出兩平面間的相對線性位移及相對旋轉位移,未來可回授此偏移量於數位微影系統進行曝光前的校正補償。本文首先分析莫爾條紋位移量與實際位移量之間的關係,接著設計可應用於數位微影系統的光學對位之光路架構,最後以光柵做為對準符號實際建構對位量測模組,並量測兩組位移範例,並以計算相位差的方式來預測實際位移量。實驗結果顯示此光學架構可偵測0.1µm的最小精度線性位移,且量測誤差控制在±0.03µm之內,以及可偵測0.1°的最小精度角位移,且量測誤差控制在±0.03°之內。


    In the definition of circuit patterns, alignment metrology plays a crucial role in the advanced lithography. Align the stage precisely before exposure to minimize rework rates and reduce the production cost. In recent researches, we found that the mismatch results occur between the real resist pattern results and optical simulation produced by the digital lithography system. The error factors come from the misalignment of the substrate and the optical engine stages. This research proposes alignment measurement techniques based on Moiré fringe, where is applicable in the digital lithography system. This designed optical alignment module can detect the linear and angular displacement between the two stages. The author constructs the mathematical formula between Moiré fringe and the actual displacement, and designs the setup of optical alignment used in digital lithography system. The author uses the phase grating as the alignment mark for two cases of alignment modules to predict overlay. The experimental results show that this module can detect a linear overlay of 0.1 µm and the measurement error is controlled within ±0.03µm; angular overlay of 0.1° and the measurement error is controlled within ±0.03°.

    致謝 摘要 ABSTRACT 目錄 圖目錄 表目錄 第一章 緒論 1.1 前言 1.2 文獻探討 1.3 研究動機 1.4 論文架構 第二章 光學對位量測 2.1 簡介 2.2 對位量測技術 2.3 相位光柵理論 2.4 載台與數位微影光學引擎 2.5 小結 第三章 莫爾條紋理論 3.1 簡介 3.2 對準量測原理 3.3 線性位移量測設計 3.4 角位移量測設計 3.5 應用於數位微影系統之光路設計 3.6 小結 第四章 光學對位模組 4.1 簡介 4.2 光學模組 4.3 莫爾條紋影像 4.4 位移量測 4.5 小結 第五章 結論 5.1 分析與討論 5.2 研究貢獻 5.3 未來研究方向 參考文獻

    [1] Schaller, R. R., "Moore's law: past, present and future.", IEEE Spectrum, vol.34, no.6, pp 52-59.
    [2] H Ryoo, Dong Won Kang, and Jae W. Hahn. "Analysis of the line pattern width and exposure efficiency in maskless lithography using a digital micromirror device." Microelectronic Engineering, vol. 88, pp.3145-3149, 2011.
    [3] Chen, Ronghuan, et al. "Edge smoothness enhancement in DMD scanning lithography system based on a wobulation technique." Optics express, vol. 25, pp. 21958-21968, 2017.
    [4] E. J. Hansotte, Edward C. Carignan, and W. Dan Meisburger. "High speed maskless lithography of printed circuit boards using digital micromirrors." Emerging Digital Micromirror Device Based Systems and Applications III. International Society for Optics and Photonics, vol. 7932, pp. 793207, 2011.
    [5] Texas Instruments, "DLP products.", [Online].
    Available: https://www.ti.com/dlp-chip/getting-started.html
    [6] D. Mallik, et al. "Advanced Package Technologies for High-Performance Systems." Intel Technology Journal, vol. 9, pp.259-271, 2005.
    [7] S. Krongelb, L. T. Romankiw, and J. A. Tornello. "Electrochemical process for advanced package fabrication." IBM journal of research and development, vol. 42.5, pp. 575-586, 1998.
    [8] Daniel Lu, and C. P. Wong, eds.” Materials for advanced packaging.” New York: Springer, vol. 181, 2009.
    [9] C. Brubaker, Islam Rafiqul, and Helge Luesebrink. "Ultra-thick lithography for advanced packaging and MEMS." Advances in Resist Technology and Processing XIX. International Society for Optics and Photonics, vol. 4690, 2002.
    [10] H Bae, YoungChul KIM, and Myungkil Lee. "Semiconductor device and method of forming a fan-in package-on-package structure using through silicon vias." U.S. Patent, no. 9,559,046, 2017.
    [11] Chen-Hua Yu, and Der-Chyang Yeh. "Fan-out package structure and methods for forming the same." U.S. Patent, no. 8,803,306, 2014.
    [12] Jin Young Kim, Doo Hyun Park, and Seung Jae Lee. "Wafer level fan out package." U.S. Patent, no. 8,552,556, 2013.
    [13] Yi-Chao Mao, et al. "Package with a fan-out structure and method of forming the same." U.S. Patent, no. 8,785,299, 2014.
    [14] Sperling, "Sorting Out Packaging Options", Sep. 10, 2018. [Online]. Available: https://semiengineering.com/sorting-out-packaging-options/
    [15] 麥姆斯諮詢, "先進封裝產業現狀", Oct. 10, 2018. [Online]. Available: https://kknews.cc/tech/zelqb6l.html
    [16] Zhu, Jiangping, et al. "Alignment method based on matched dual-grating moiré fringe for proximity lithography." Optical Engineering, vol. 51, no. 11, pp. 113603, 2012.
    [17] A. J. W. Whang and N. C. Gallagher, “Synthetic approach to designing optical alignment systems,” Appl, pp. 3534-3541, 1988.
    [18] T. Miyatake, M. Hirose, T. Shoki, R. Ohkubo, and K. Yamazaki, “Nanometer scattered-light alignment system using SiC x-ray masks with low optical transparency,” J. Vac. Sci. Technol, no. 16, pp. 3471-3475, 1998.
    [19] T. Kanayama et al., “An alignment system for synchrotron radiation x-ray lithography,” J. Vac. Sci. Technol, vol. 6, no. 1, pp. 409-412, 1988.
    [20] B. Fay, J. Trotel, and A. Frichet, “Optical alignment system for submicron x-ray lithography,” J. Vac. Sci. Technol, vol. 16, no. 6, pp. 1954-1958, 1979.
    [21] N. Li, W. Wu, and S. Y. Chou, “Sub-20-nm alignment in Nan imprint lithography using moiré fringe,” Nano Lett, vol. 6, no. 11, pp. 2626-2629, 2006.
    [22] L. Fuller, “Introduction to ASML PAS 5500 Wafer Alignment and Exposure,” Nov. 10, 2011. [Online]. Available:
    https://docplayer.net/21054052-Introduction-to-asml-pas-5500-wafer-alignment-and-exposure-dr-lynn-fuller-stephanie-bolster.html
    [23] Y. Uchida, S. Hattori, and T. Nomura, “An automatic mask alignment technique using moiré interference,” J. Vac. Sci. Technol, vol. 5, no. 1, pp. 244-2471, 1987.
    [24] A. Moel et al., “Novel on-axis interferometric alignment method with sub-10 µm precision,” J. Vac. Sci. Technol, vol. 11, no. 6, pp. 2191-2194, 1993.
    [25] M. Tabata and T. Tojo, “High-precision interferometric alignment using checker grating,” J. Vac. Sci. Technol.: Microelectronics and Nanometer Structures, vol. 7, no. 6, pp. 1980–1983, 1989.
    [26] Chen, Wangfu, et al., "Extended dual-grating alignment method for optical projection lithography." Applied optics, vol. 49, no. 4, pp. 708-713, 2010.
    [27] Fukuhara, Kazuya, et al. "Overlay control for nanoimprint lithography." Emerging Patterning Technologies. International Society for Optics and Photonics, vol. 10144, 2017.
    [28] 麥姆斯諮詢, "扇出型封裝面臨哪些光刻技術的挑戰?", Feb. 18, 2019. [Online]. Available: https://kknews.cc/zh-tw/tech/69er3nl.html
    [29] Chan, Kin Foong, et al. "High-resolution maskless lithography." Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 2, no. 4, pp. 331-340, 2003.
    [30] Ihn, Yong Seok, et al. "A dual step precision multi-DOF stage for maskless digital lithography." Microsystem technologies, vol. 18, no. 9-10, pp. 1741-1750, 2012.
    [31] Groves, T. R., et al. "Maskless electron beam lithography: prospects, progress, and challenges." Microelectronic Engineering, vol. 61, pp. 285-293, 2002.
    [32] Xiong, Zheng, et al. "Diffraction analysis of digital micromirror device in maskless photolithography system." Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, no. 4, pp. 043016, 2014.
    [33] Shroff, Yashesh A., Yijian Chen, and William G. Oldham. "Optical analysis of mirror-based pattern generation." Emerging Lithographic Technologies VII, vol. 5037, International Society for Optics and Photonics, 2003.
    [34] Dudley, Dana, Walter M. Duncan, and John Slaughter. "Emerging digital micromirror device (DMD) applications." MOEMS display and imaging systems, vol. 4985, International Society for Optics and Photonics, 2003.
    [35] Hansotte, Eric J., Edward C. Carignan, and W. Dan Meisburger. "High speed maskless lithography of printed circuit boards using digital micromirrors." Emerging Digital Micromirror Device Based Systems and Applications III, vol. 7932, International Society for Optics and Photonics, 2011.
    [36] Gale, Michael T., et al. "Fabrication of continuous-relief micro-optical elements by direct laser writing in photoresists." Optical Engineering, vol. 33, no. 11, pp. 3556-3567, 1994.
    [37] Zhou, Shaolin, et al. "Fourier-based analysis of moiré fringe patterns of superposed gratings in alignment of nanolithography." Optics express, vol. 16, no. 11, pp. 7869-7880, 2008.
    [38] Chen, Wangfu, et al. "Extended dual-grating alignment method for optical projection lithography." Applied optics, vol. 49, no. 4, pp. 708-713,2010.
    [39] Hung-Liang Chien, and Yung-Chun Lee, "Three Dimensional Maskless Ultraviolet Exposure System Based on Digital Light Processing", Taiwan Precision Technology Workshop, TPTW, 2018.
    [40] K. Bhattacharyya, A. den Boef, M. Noot, O. Adam, G. Grzela, A. Fuchs, et al., "A complete methodology towards accuracy and lot-to-lot robustness in on-product overlay metrology using flexible wavelength selection", Metrology, Inspection, and Process Control for Microlithography XXXI, pp. 101450A, 2017.
    [41] T. Shapoval, J. Engelmann, C. Kroh, N. Schmidt, S. Agarwal, R. Ramkhalawon, et al., "Etch process monitoring possibilities and root cause analysis," in Advanced Semiconductor Manufacturing Conference (ASMC), 2016 27th Annual SEMI, pp. 419-422, 2016.
    [42] Flanders, D. C., Henry I. Smith, and Stewart Austin. "A new interferometric alignment technique." Applied physics letters, vol. 31, no. 7, pp. 426-428, 1977.
    [43] Hara, Kenji, et al. "An alignment technique using diffracted moire signals." Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena, vol. 7, no. 6, pp. 1977-1979, 1989.
    [44] Zhang, Wei, and Stephen Y. Chou. "Fabrication of 60-nm transistors on 4-in. wafer using nanoimprint at all lithography levels." Applied physics letters, vol. 83, no. 8, pp. 1632-1634, 2003.
    [45] Alkaisi, M. M., W. Jayatissa, and M. Konijn. "Multilevel nanoimprint lithography." Current Applied Physics, vol. 4, no. 2-4, pp. 111-114,2004.
    [46] Fuchs, A., et al. "Interferometric in situ alignment for UV-based nanoimprint." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 22, no. 6, pp. 3242-3245, 2004.
    [47] Mühlberger, M., et al. "A Moiré method for high accuracy alignment in nanoimprint lithography." Microelectronic Engineering, vol. 84, no. 5-8, pp. 925-927, 2007.
    [48] Wittekoek, Stefan, et al. "Deep-UV wafer stepper with through-the-lens wafer to reticle alignment." Optical/Laser Microlithography III, vol. 1264, International Society for Optics and Photonics, 1990.
    [49] Lee, Chang-Woo, and Seung-Woo Kim. "An ultraprecision stage for alignment of wafers in advanced microlithography." Precision Engineering, vol. 21, no. 2-3, pp. 113-122, 1997.
    [50] Mack, Chris. Fundamental principles of optical lithography: the science of microfabrication. John Wiley & Sons, 2008.
    [51] Maik Zimmermann, et al. "Microlens laser beam homogenizer: from theory to application." Laser Beam Shaping VIII, vol. 6663, pp. 666302, 2007.
    [52] Zhu, Jiangping, et al. "Experimental study of Talbot imaging moiré-based lithography alignment method." Optics and Lasers in Engineering, vol. 58, pp. 54-59, 2014.
    [53] Zhu, Jiangping, et al. "Four-quadrant gratings moiré fringe alignment measurement in proximity lithography." Optics express, vol. 21, no. 3, pp. 3463-3473, 2013.
    [54] Zhou, Shaolin, et al. "Fourier-based analysis of moiré fringe patterns of superposed gratings in alignment of nanolithography." Optics express, vol. 16, no. 11, pp. 7869-7880, 2008.
    [55] Li, Nianhua, Wei Wu, and Stephen Y. Chou. "Sub-20-nm alignment in nanoimprint lithography using Moire fringe." Nano letters, vol. 6, no. 11, pp. 2626-2629, 2006.
    [56] Strutt, J. W. "On the manufacture and theory of diffraction gratings." Philos. Mag, vol. 47, pp. 81-93, 1874.
    [57] Guild, John. "The interference systems of crossed diffraction gratings: theory of moiré fringes.", 1956.
    [58] Guild, John. Diffraction gratings as measuring scales. London: Oxford University Press, 1960.
    [59] Oster, Gerald, Mark Wasserman, and Craig Zwerling. "Theoretical interpretation of moiré patterns." JOSA, vol. 54, no. 2, pp. 169-175, 1964.
    [60] Bryngdahl, Olof. "Moiré: formation and interpretation." JOSA, vol. 64, no. 10, pp. 1287-1294, 1974.
    [61] Bryngdahl, Olof. "Moiré and higher grating harmonics." JOSA, vol. 65, no. 6, pp. 685-694, 1975.
    [62] Amidror, Isaac, and Roger D. Hersch. "Fourier-based analysis of phase shifts in the superposition of periodic layers and their moiré effects." JOSA A, vol. 13, no. 5, pp. 974-987, 1996.
    [63] Amidror, Isaac, and Roger D. Hersch. "Fourier-based analysis and synthesis of moirés in the superposition of geometrically transformed periodic structures." JOSA A, vol. 15, no. 5, pp. 1100-1113, 1998.
    [64] Chen, Wangfu, et al. "Extended dual-grating alignment method for optical projection lithography." Applied optics, vol. 49, no. 4, pp. 708-713, 2010.
    [65] G. Oster, M. Wasserman, and C. Zwerling, “Theoretical interpretation of Moiré patterns,” Opt. Soc. Am. A, vol. 54, no. 2, pp. 169-175, 1964.
    [66] M. Taketa, H. Ina, and S. Kobayashi, “Fourier-transform method of fringe-pattern analysis for computer-based topography and interferometry,” Opt. Soc. Am, vol. 72, no. 1, pp. 156-160, 1982.
    [67] H. F. Kuo, Frederick, ‘‘Gaussian beam incident on the one-dimensional diffraction gratings with the high-κ metal gate stack structures,’’ J. Nanosci. Nanotechnol., vol. 14, no. 4, pp. 2780-2785, 2014.
    [68] Talbot, Henry Fox. "LXXVI. Facts relating to optical science. No. IV." The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science, vol. 9, no. 56, pp. 401-407, 1836.
    [69] Goodman, Joseph W. Introduction to Fourier optics. Roberts and Company Publishers, 2005.

    QR CODE