簡易檢索 / 詳目顯示

研究生: 黃羽鴻
Yu-Hung Huang
論文名稱: 擺放巨集電路階段基於卷積神經網路之可繞度預測
Routability-Driven Macro Placement with Embedded CNN-Based Prediction Model
指導教授: 方劭云
Shao-Yun Fang
口試委員: 李毅郎
Yih-Lang Li
方劭云
Shao-Yun Fang
郭鴻飛
Hung-Fei Kuo
呂學坤
Shyue-Kung Lu
劉一宇
Yi-Yu Liu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2018
畢業學年度: 106
語文別: 英文
論文頁數: 52
中文關鍵詞: 可繞度巨集電路機器學習卷積神經網路
外文關鍵詞: routability, macro placement
相關次數: 點閱:293下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著先進製程快速發展以及半導體產業的蓬勃發展,晶片大小也越來越小,因此有更多複雜的設計規則需要被遵守,而一個晶片在被下線之前必須沒有違反任何一個設計規則,這導致一個電路的可繞度就變得相對重要許多。此外,在現今電路設計中經常會有許多大型的巨集電路,而這些巨集電路所佔的面積比例甚至高達七成,因此如何擺放這些聚集電路就變得相當重要,此篇文獻中也證明了如何擺放巨集電路會大幅的影響到最後的可繞度,因此在此篇文獻中我們提出了第一個在擺放巨集電路階段直接考慮到可繞度的作品,我們運用機器學習建立了一個基於卷積神經網路的可繞度預測模型,並且成功運用退火演算法結合此模型在擺放巨集電路階段尋找最佳之巨集電路擺放方式,實驗結果顯示我們的作品能夠在擺放巨集電路階段準確的預測可繞度,並且找到良好的擺放巨集電路之方式,以及能夠大幅提高電路之可繞度。


    With the dramatical shrink of feature size and the advance of semiconductor technology nodes, numerous and complicated design rules need to be followed, and a chip design can only be tapped-out after passing design rule check (DRC). The high design complexity seriously deteriorates design routability, which can be measured by the number of DRC violations after the detailed routing stage. In addition, a modern large-scaled design typically consists of many huge macros due to the wide use of intellectual properties (IPs). Empirically, the placement of these macros greatly determines routability, while there exists no effective cost metric to directly qualify a macro placement because of the extremely high complexity and unpredictability of cell placement and routing. In this paper, we propose the first work of routability-driven macro placement with deep learning. A convolutional neural network (CNN)-based routability prediction model is proposed and embedded into a macro placer such that a good macro placement with minimized DRC violations can be derived through a simulated annealing (SA) optimization process. Experimental results show the accuracy of the predictor and the effectiveness of the macro placer.

    Abstract vii List of Tables xi List of Figures xii Chapter 1. Introduction 1 1.1 Macro placement . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.2 Previous Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.2.1 Macro Placer . . . . . . . . . . . . . . . . . . . . . . . . . . . .2 1.2.2 Machine Learning Techniques . . . . . . . . . . . . . . . . . . . . 5 1.2.3 Machine Learning-based routability prediction . . . . . . . . . . . 6 1.3 Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7 1.4 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . 9 Chapter 2. Problem Formulation 10 2.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . 10 Chapter 3. Preliminaries 12 3.1 Circular Contour Macro placer . . . . . . . . . . . . . . . . . . . . 12 3.2 Convolutional Neural Network . . . . . . . . . . . . . . . . . . . . .13 3.2.1 Convolutional Layer . . . . . . . . . . . . . . . . . . . . . . . . 15 3.2.2 Pooling Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 3.3 Transfer Learning . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Chapter 4. Macro Placement with Embedded Routability Prediction Model 18 4.1 Feature Extraction . . . . . . . . . . . . . . . . . . . . . . . . . .18 4.2 Routability Prediction . . . . . . . . . . . . . . . . . . . . . . . .20 4.3 Simulated Annealing Optimization . . . . . . . . . . . . . . . . . . .23 Chapter 5. Experimental Results 26 5.1 Environment Setting . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.2 Routability Prediction . . . . . . . . . . . . . . . . . . . . . . . .28 5.3 Simulated Annealing Optimization . . . . . . . . . . . . . . . . . . .29 Chapter 6. Conclusions and Future Work 33 Bibliography 34 Publication List 40

    [1] S. N. Adya, S. Chaturvedi, J. A. Roy, D. A. Papa, and I. L. Markov. "Uni -
    cation of partitioning, placement and
    oorplanning." In Proc. of ICCAD, pp.
    550-557 2004.
    [2] I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis. "ISPD 2015 bench-
    marks with fence regions and routing blockages for detailed routing-driven
    placement." In ACM International Symposium on Physical Design (ISPD),
    2015.
    [3] Cadence encounter user guide. http://www.cadence.com
    [4] S. Choi, S. Shim and Y. Shin. "Neural Network Classi er-Based OPC with
    Imbalanced Training Data." In IEEE Transactions on Computer-Aided Design
    of Integrated Circuits and Systems, 2018.
    [5] W. T. J. Chan, P.-H. Ho, A. B. Kahng, and P. Saxena. "Routability optimiza-
    tion for industrial designs at sub-14nm process nodes using machine learning."
    In ACM International Symposium on Physical Design (ISPD), pp. 15-21, 2017.
    [6] E. Cengil, A. inar and Z. Gler. "A GPU-based convolutional neural network
    approach for image classi cation." In International Arti cial Intelligence and
    Data Processing Symposium (IDAP),pp. 1-6, 2017.
    [7] C. H. Chiou, C. H. Chang, S. T. Chen and Y. W. Chang. "Circular-contour-
    based obstacle-aware macro placement." In 2016 21st Asia and South Paci c
    Design Automation Conference (ASP-DAC), pp. 172-177, 2016.
    [8] W. T. J. Chan, Y. Du, A. B. Kahng, S. Nath and K. Samadi. "BEOL stack-
    aware routability prediction from placement using data mining techniques." In
    Proceedings of International Conference on Computer Design, pp. 41-48, 2016.
    [9] Chollet, Francois. Keras. https://github.com/fchollet/keras, 2015.
    [10] Y. F. Chen, C. C. Huang, C. H. Chiou, Y. W. Chang and C. J.
    Wang. "Routability-driven blockage-aware macro placement." In 2014 51st
    ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6., 2014.
    [11] H.-C. Chen, Y.-L. Chuang, Y.-W. Chang, and Y.-C. Chang. "Constraint graph-
    based macro placement for modern mixed-size circuit designs." In Proc. of IC-
    CAD, pp. 218-223, 2008.
    [12] T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. "NTU-
    place3: An analytical placer for large-scale mixed-size designs with preplaced
    blocks and density constraints." In IEEE Transactions on Computer-Aided De-
    sign of Integrated Circuits and Systems, 27(7):12281240, July 2008.
    [13] J. Cong and M. Xie. "A robust mixed-size legalization and detailed placement
    algorithm." In IEEE TCAD, 27(8):13491362, August 2008.
    [14] T. C. Chen, P. H. Yuh, Y. W. Chang, F. J. Huang and T. Y. Liu. "MP-Trees: A
    Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs."
    In IEEE Transactions on Computer-Aided Design of Integrated Circuits and
    Systems, vol. 27, no. 9, pp. 1621-1634, 2008.
    [15] T. F. Chan, J. Cong, J. R. Shinnerl, K. Sze, and M. Xie. "mPL6: enhanced
    multilevel mixed-size placement." In Proc. of ISPD, pp. 212-214, 2006.
    [16] Y. Y. Dai and R. K. Braytont. "Circuit recognition with deep learning." In
    2017 IEEE International Symposium on Hardware Oriented Security and Trust
    (HOST), pp.162-162 2017.
    [17] J. Deng, W. Dong, R. Socher, L.-J. Li, K. Li, and L. Fei-Fei. "ImageNet:
    A large-scale hierarchical image database." In IEEE Conference on Computer
    Vision and Pattern Recognition (CVPR), 2009.
    [18] M. K. Hsu and Y. W. Chang. "Uni ed analytical global placement for large
    scale mixed-size circuit designs." In IEEE Transactions on Computer-Aided
    Design of Integrated Circuits and Systems (TCAD), vol. 31, no. 9, pp. 3661378,
    2012.
    [19] R. H. Hahnloser, R. Sarpeshkar, M. A. Mahowald, R. J. Douglas, and H S.
    Seung. "Digital selection and analogue ampli cation coexist in a cortex-inspired
    silicon circuit." In Nature, vol. 405, no.6789, pp. 947, 2000.
    [20] S. R. Jain and K. Okabe. "Training a Fully Convolutional Neural Network
    to Route Integrated Circuits." In Computer Vision and Pattern Recognition,
    2017.
    [21] D. P. Kingma and J. L. Ba. "Adam: A method for stochastic optimization."
    In arXiv preprint, arXiv:1412.6980, 2014.
    [22] M.-C. Kim and I. L. Markov. "ComPLx: A competitive primal-dual lagrange
    optimization for global placement." In Proc. of DAC, pp. 747-752, 2012.
    [23] M.-C. Kim, N. Viswanathan, C. J. Alpert, I. L. Markov, and S. Ramji.
    "MAPLE: Multilevel adaptive placement for mixed-size designs." In In Proc.
    of ISPD, pp. 193-200, 2012.
    [24] K. Lee, J. Lee, J. Lee, S. Hwang and S. Lee. "Brightness-based Convolutional
    Neural Network for Thermal Image Enhancement." In IEEE Access, vol. 5, pp.
    26867-26879, 2017.
    [25] J. Lu, H. Zhuang, P. Chen, H. Chang, C.-C. Chang, Y.-C. Wong, L. Sha, D.
    Huang, Y. Luo, C.-C. Teng, and C.-K. Cheng. "ePlace-MS: Electrostatics based
    placement for mixed-size circuits." In IEEE TCAD, 34(5):685698, January
    2015.
    [26] J. M. Lin, Y. W. Chang, and S. P. Lin. "Corner sequence|A P-admissible
    oorplan representation with a worst case linear-time packing scheme." In EEE
    Transactions on Very Large Scale Integration (VLSI) System, vol. 11, no. 4, pp.
    679-686, 2003.
    [27] Y. LeCun, L. Bottou, Y. Bengio, and P. Ha ner. "Gradient-based learning
    applied to document recognition." In Proceedings of the IEEE, 1998.
    [28] M. D. Mott, A. N. Ng, I. L. Markov, and M. E. Pollack. "Constraint-driven
    oorplan repair." In Proc. of DAC, PP. 1103-1108, 2006.
    [29] J. W. Park, A. Torres and X. Song. "Litho-aware Machine Learning for Hotspot
    Detection." In IEEE Transactions on Computer-Aided Design of Integrated Cir-
    cuits and Systems, 2017.
    [30] F. P. Preparata and M. I. Shamos. "Computational Geometry: An Introduc-
    tion." In Springer-Verlag, 1985.
    [31] H. Qassim, A. Verma and D. Feinzimer. "Compressed residual-VGG16 CNN
    model for big data places image recognition." In 2018 IEEE 8th Annual Com-
    puting and Communication Workshop and Conference (CCWC), pp. 169-175,
    2018.
    [32] Z. Qi, Y. Cai and Q. Zhou. "Accurate prediction of detailed routing congestion
    using supervised data learning." In 2014 IEEE 32nd International Conference
    on Computer Design (ICCD), pp. 97-103, 2014.
    [33] I. Ramadhan, B. Purnama and S. A. Faraby. "Convolutional neural networks
    applied to handwritten mathematical symbols classi cation." In 2016 4th Inter-
    national Conference on Information and Communication Technology (ICoICT),
    pp. 1-4, 2016.
    [34] Y. Shima, Y. Nakashima and M. Yasuda. "Pattern augmentation for handwrit-
    ten digit classi cation based on combination of pre-trained CNN and SVM." In
    2017 6th International Conference on Informatics, Electronics and Vision 2017
    7th International Symposium in Computational Medical and Health Technology
    (ICIEV-ISCMHT), pp. 1-6, 2017.
    [35] D. Silver, J. Schrittwieser, K. Simonyan, I. Antonoglou, A. Huang, A. Guez, T.
    Hubert, L. Baker, M. Lai, A. Bolton, Y. Chen, T. Lillicrap, F. Hui, L. Sifre, G.
    v. d. Driessche, T. Graepel and D. Hassabis. "Mastering the game of Go with
    deep neural networks and tree search." In Nature, vol. 529, no. 7587, pp. 484-
    489, 2016.
    [36] K. Simonyan and A. Zisserman. "Very deep convolutional networks for large-
    scale image recognition." In Visual Geometry Group, Department of Engineer-
    ing Science, University of Oxford, 2014.
    [37] A. F. Tabrizi, N. K. Darav, L. Rakai, A. Kennings and L. Behjat. "Detailed
    routing violation prediction during placement using machine learning." In Pro-
    ceedings of International Symposium on VLSI Design, Automation and Test
    (VLSI-DAT), pp. 1-4, 2017.
    [38] N. Viswanathan, M. Pan, and C. Chu. "Fastplace 3.0: A fast multilevel
    quadratic placement algorithm with placement congestion control." In Proc.
    of ASPDAC, pp. 135-140, 2007.
    [39] J. Z. Yan, N. Viswanathan, and C. Chu. "Handling complexities in modern
    large-scale mixed-size placement." In Proc. of DAC, pp. 436-441, 2009.
    [40] Q. Zhou, X. Wang, Z. Qi, Z. Chen, Q. Zhou, and Y. Cai. "An accurate de-
    tailed routing routability prediction model in placement." In Asia Symposium
    on Quality Electronic Design (ASQED), 2015.

    QR CODE