簡易檢索 / 詳目顯示

研究生: 李國華
FREDERICK
論文名稱: 結合蟻群演算法與神經網路演算法所優化之光源模態以強化微影成像效能
Freeform Source Optimization with Metaheuristic Binary Ant Colony and Neural Network Algorithms to Enhance Lithographic Imaging Performance
指導教授: 郭鴻飛
HUNG-FEI KUO
口試委員: 蔡明忠
徐勝均
蘇順豐
陳昭宏
蔡坤諭
郭鴻飛
學位類別: 博士
Doctor
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2017
畢業學年度: 105
語文別: 英文
論文頁數: 144
中文關鍵詞: 蟻群演算法浸潤式微影光學系統次經驗法則光學鄰近修正解析度增強技術
外文關鍵詞: computational lithography, immersion optical lithography, resolution enhancement technique
相關次數: 點閱:1238下載:14
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

幾十年來半導體工業仰賴微影光學將電路圖案之設計轉印至晶圓基板上,隨著電路設計圖樣日益縮小,目前已逼近至微影製程的光學極限,解析度增強技術(resolution enhancement technique, RET) 成為克服此極限的一種方式,而光源最佳化能克服因設計圖樣較為複雜所導致的微影缺陷,是其中一種重要的解析度增強技術。在此研究中,利用以像素為基準的光源最佳化使微影圖樣在不失真的情況下增加微影製程的容許範圍(process window)。首先,光源最佳化的架構是以次經驗法則(meta-heuristic)的螞蟻群體為模型去開發,螞蟻群體會建構最佳像素組合的Freeform光源,其中每個像素代表同調點光源(coherent point source),利用螞蟻群體最佳化光源的其中一個優點是能保證達到收斂,但其收斂時間不固定,為了解決收斂時間不固定的問題,將次經驗蟻群演算法結合瞬時混沌類神經網路(transiently chaotic neural network, TCNN)應用在光源最佳化的架構上,類神經網路的目標是找到能夠增加微影製程容許範圍(process window)的適當光源區域,能有效縮減螞蟻群體所需要搜索的光源範圍,因而減少演算法到達收斂的時間。Freeform光源的微影曝光品質主要是依據電腦模擬出的空間潛像(aerial image, AI)做評估,以一維 L/S和Contact Hole為例能夠證明演算法有效縮短收斂時間,而在較複雜的圖樣下亦能證明利用此混合最佳化方法所產生的光源曝光品質優於參考光源,其中Five-bar L/S圖樣顯示此混合最佳化方法能夠同時增加Iso和Dense圖樣的曝光品質和焦距深度(depth of focus, DOF),而在最後測試的22nm node SRAM圖樣中,以混合最佳化方法得到的最佳光源曝光品質表現優於參考光源,與其他例子相同,由此混合最佳化方法建構出來的光源亦能在改善在微影製程參數有微小變動情況下的曝光品質。


Source optimization (SO) is one of the important RET used to overcome the distortion occur in lithography as the complexity of the mask pattern increases. In this study, a pixelated SO is employed for improving the process window of lithography process while obtaining a good image fidelity. The SO framework is developed using metaheuristic binary ant colony (ACO) and neural network approach. The binary ACO constructs the freeform source by finding the optimal combination of pixels. Each pixel represents as coherent point source. Aside from the simplicity to implement the binary ACO to solve the combinatorial pixel’s source problem, another advantage of using binary ACO is the guarantee to reach the convergence state but time to convergence is uncertain. So to compensate the uncertain time of convergence, transiently chaotic neural network (TCNN) is applied in source optimization framework. The neural network has an objective to find the suitable sectors source that has benefit in improving the process window. Thus, the search space of the binary ant colony problem is reduced and leads to the faster convergence of the algorithm. The developed optimization framework does not depend on the formulation of the optical system, so it is can be easily adapted to the other lithography system such as EUV system. The lithography performance is evaluated in aerial image based exposed by the freeform source using proposed method in a PC platform. The improvement of the convergence speed is demonstrated using simple 1D L/S pattern and contact hole pattern. A more complex pattern such as five bars L/S pattern, contact layer and metal layer of 22nm SRAM node are used to demonstrate the performance of the hybrid method over reference source.

摘要 II ABSTRACT III ACKNOWLEDGEMENTS IV LIST OF SYMBOLS VII LIST OF FIGURES VIII LIST OF TABLES XI CHAPTER 1 1 INTRODUCTION 1 1.1 Motivation 1 1.2 Literature Reviews 4 1.3 Research Objectives 6 1.4 Thesis Organization 6 CHAPTER 2 8 OPTICAL LITHOGRAPHY MODELLING 8 2.1 Basic of optical lithography 8 2.2 Aerial Image Formulation 13 2.2.1 Diffraction Theory 13 2.2.2 Image Forming 15 2.3 Definition of Image Quality 19 2.3.1 Critical Dimension (CD) 19 2.3.2 Edge Placement Error (EPE) Metric 22 2.3.3 Normalized Image Log-Slope (NILS) 22 2.4 Process Window and Depth of Focus (DOF) 24 CHAPTER 3 28 FREEFORM SOURCE OPTIMIZATION 28 3.1 Resolution Enhancement Techniques (RETs) 28 3.1.1 Off-Axis Illumination (OAI) 29 3.1.2 Optical Proximity Correction (OPC) 31 3.1.3 Phase Shift Mask (PSM) 33 3.1.4 Sub-Resolution Assisted Feature (SRAF) 34 3.2 Ant Colony Optimization 35 3.3 Pixel Based Freeform Source Optimization 39 3.3.1 Construct Source Pattern using Binary Ant Colony Optimization 40 3.3.2 Sector Selection using Neural Network 44 3.4 Framework of Freeform Source Optimization 45 3.4.1 Simultaneously Demonstrating the B-ACO Freeform Source Optimization on 17 Clips of L/S pattern 53 CHAPTER 4 83 SIMULATION RESULT OF SOURCE OPTIMIZATION 83 4.1 TCNN Architecture Verification 83 4.2 Comparison between Binary ACO and Hybrid of Binary ACO and TCNN 85 4.3 Simulation Result of Five Bars 45 nm L/S Pattern 93 4.4 Implementation of Hybrid Freeform Source on SRAM Cell 100 4.4.1 Contact layer of 22nm node SRAM – 0.099μm2 bit cell 100 4.4.2 Metal layer of 22nm node SRAM – 0.099μm2 bit cell 109 CHAPTER 5 116 CONCLUSIONS AND FUTURE WORKS 116 5.1 Summaries 116 5.2 Conclusions 116 5.3 Future Works 119 REFERENCES 121 LIST OF PUBLICATIONS 130

[1] "International Technology Roadmap for Semiconductors 2.0 Report," ed, 2015.
[2] Z. Zhimin, J. Lowes, V. Krishnamurthy, and A. Riojas, "High-fidelity lithography," in IEEE CSTIC, 2015, pp. 1-4.
[3] R. Voelkel, "Micro-optics: enabling technology for illumination shaping in optical lithography," in Proc. SPIE 9052, 2014, p. 90521U
[4] M. van den Brink, "Continuing to shrink: Next-generation lithography - Progress and prospects," in IEEE ISSCC Digest of Technical Papers, 2013, pp. 20-25.
[5] V. Singh, "Lithography at 14nm and beyond: Choices and challenges," in 48th ACM/EDAC/IEEE of DAC, 2011, pp. 459-459.
[6] F. Zhang et al., "Off-line inspection method of microlens array for illumination homogenization in DUV lithography machine," in Proc. SPIE 9046, 2013, p. 904619.
[7] T. Chen et al., "Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination," in Proc. SPIE 6154, 2006, p. 61541O.
[8] C. A. Mack and J. D. Byers, "Exploring the capabilities of immersion lithography through simulation," in Proc. SPIE 5377, 2004, pp. 428-441.
[9] Y. Wang et al., "High-refractive-index fluids for the next-generation ArF immersion lithography," in Proc. SPIE 6153, 2006, p. 61530A.
[10] J. Mulkens, D. Flagello, B. Streefkerk, and P. Graeupner, "Benefits and limitations of immersion lithography," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 3, no. 1, pp. 104-114, Jan. 2004.
[11] S. Mori, H. Aoyama, T. Ogata, R. Matsui, and T. Matsuyama, "Imaging application tools for extremely low-k1 ArF immersion lithography," in Proc. SPIE 8683, 2013, pp. 86830A.
[12] S. Wurm, "Transition to EUV lithography," in 2012 Int. Symp. on VLSI TSA, 2012, pp. 1-2.
[13] J. Mulkens et al., "Across scanner platform optimization to enable EUV lithography at the 10-nm logic node," in Proc. SPIE 9048, 2014, p. 90481L.
[14] Y. Ekinci, M. Vockenhuber, N. Mojarad, and D. Fan, "EUV resists towards 11nm half-pitch," in Proc. SPIE 9048, 2014, p. 904804.
[15] T. Vandeweyer et al., "Patterning challenges in setting up a 16nm node 6T-SRAM device using EUV lithography," in Proc. SPIE 7969, 2011, p. 79691K
[16] P. Birgit, L. Andreas, K. Eugenie, D. Christian, and E. Yasin, "Sub-10 nm patterning using EUV interference lithography," Nanotechnology, vol. 22, no. 37, p. 375302, Aug. 2011.
[17] O. Wood et al., "EUV lithography at the 22nm technology node," in Proc. SPIE 7636, 2010, p. 76361M.
[18] C. Wagner and N. Harned, "EUV lithography: Lithography gets extreme," Nature Photonics, vol. 4, no. 1, pp. 24-26, Jan. 2010.
[19] O. T. Ghalehbeygi, G. Berriman, A. J. Fleming, and J. L. Holdsworth, "Optimization and simulation of exposure pattern for scanning laser lithography," in 2015 IEEE Conf. on Control Applications, 2015, pp. 1868-1873.
[20] D. O. S. Melville et al., "Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems," J. Vac. Sci. Technol. B, vol. 29, no. 6, pp. 06FH04 1-14, Nov. 2011.
[21] A. Sagiv et al., "Aerial imaging for source mask optimization: mask and illumination qualification," in Proc. SPIE 7488, 2009, p. 74880Z.
[22] S. Kapasi, S. Robertson, J. Biafore, and M. D. Smith, "Source-mask selection using computational lithography incorporating physical resist models," in Proc. SPIE 7275, 2009, p.72750W.
[23] M. Kawashima, K. Yamazoe, Y. Sekine, M. Hakko, M. Ohta, and T. Honda, "Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique," in Proc. SPIE 6924, 2008, p. 69240F.
[24] E. Hendrickx, A. Tritchkov, K. Sakajiri, Y. Granik, M. Kempsell, and G. Vandenberghe, "Hyper-NA imaging of 45nm node random CH layouts using inverse lithography," in Proc. SPIE 6924, 2008, p. 69240L.
[25] K. Yamazoe, Y. Sekine, M. Kawashima, M. Hakko, T. Ono, and T. Honda, "Resolution enhancement by aerial image approximation with 2D-TCC," in Proc. SPIE 6730, 2007, p. 67302H.
[26] Y. Granik, "Solving inverse problems of optical microlithography," in Proc. SPIE 5754, 2005, pp. 506-526.
[27] S.-K. Kim, "Aerial image formation of quantum lithography for diffraction limit," Curr. Appl. Phys., vol. 12, no. 6, pp. 1566-1574, Nov. 2012.
[28] P. Gong, S. Liu, W. Lv, and X. Zhou, "Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels," J. Vac. Sci. Technol. B, vol. 30, no. 6, p. 06FG03, Nov. 2012.
[29] Y. Watanabe, T. Kimura, T. Matsunawa, and S. Nojima, "Accurate lithography simulation model based on convolutional neural networks," in Proc. SPIE 10147 , 2017, p. 101470K.
[30] A. E. Rosenbluth, "Decomposition of the TCC using non-coherent kernels for faster calculation of lithographic images," in Proc. SPIE 10147, 2017, p. 101470P.
[31] T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, "SOCS based post-layout optimization for multiple patterns with light interference prediction," in Proc. SPIE 10148, 2017, p. 101480A.
[32] W. B. Howard and C. A. Mack, "Accurate aerial image simulation using high-resolution reticle inspection images," in Proc. SPIE 5835, 2005, pp. 89-98.
[33] L. Wu et al., "Building block style recipes for productivity improvement in OPC, RET and ILT flows," in Proc. SPIE 9781, 2016, p. 978116.
[34] R.-H. Kim et al., "Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs," in Proc. SPIE 9776, 2016, p. 97761R.
[35] N. Zeggaoui et al., "Enabling the 14nm node contact patterning using advanced RET solutions," in Proc. SPIE 9661, 2015, p. 96610Q.
[36] S. Hsu et al., "EUV resolution enhancement techniques (RETs) for k1 0.4 and below," in Proc. SPIE 9422, 2015, p. 94221I.
[37] Y. Ping et al., "Process window enhancement using advanced RET techniques for 20nm contact layer," in Proc. SPIE 9052, 2014, p. 90521N.
[38] H.-Y. Sim et al., "Study of various RET for process margin improvement in 3Xnm DRAM contact," in Proc. SPIE 7973, 2011, p. 79732N.
[39] A. D. Dave and R.-H. Kim, "Pushing the limits of RET with different illumination optimization methods," in Proc. SPIE 7274, 2009, p. 72741C.
[40] X. Ma and Y. Li, "Resolution enhancement optimization methods in optical lithography with improved manufacturability," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 10, no. 2, p. 023009, May 2011.
[41] C. Wang, N. Chen, C. Kallingal, W. Wilkinson, J. Liu, and A. Leslie, "Using heuristic optimization to set SRAF rules," in Proc. SPIE 10147, 2017, p. 1014706.
[42] J. Song et al., "The new OPC method for obtaining the stability of MBAF OPC," in Proc. SPIE 10148, 2017, p. 1014813.
[43] Y. Du, "The pattern-matching based OPC approach for preemptively fixing the weak points," in Proc. SPIE 10147, 2017, p. 101471H.
[44] X. Ma, S. Jiang, J. Wang, B. Wu, Z. Song, and Y. Li, "A fast and manufacture-friendly optical proximity correction based on machine learning," Microelectron. Eng., vol. 168, pp. 15-26, Jan. 2017.
[45] H. J. Levinson, Principles of Lithography. Society of Photo Optical, 2010.
[46] A. K. K. Wong, Resolution Enhancement Techniques in Optical Lithography. SPIE Press, 2001.
[47] M. D. Prouty and A. R. Neureuther, "Optical Imaging with Phase Shift Masks," in Proc. SPIE 0470, 1984, pp. 228-232.
[48] P. Jung-ho, H. Hyun-ji, J. Yong-hwan, M. In-kyu, J. Chang-hwan, and L. Yeon-ho, "Study on the use of RET for improvement of dof in sub-via contact holes to severe topography," in 28th Int. Conf. on MIEL, 2012, pp. 103-106.
[49] Y. Shim et al., "Patterning of 90nm node flash contact hole with assist feature using KrF," in Proc. SPIE 7488, 2009, p. 748837.
[50] L. Yu et al., "Effective solution for the 14nm node multiple patterning lithography," in IEEE CSTIC, 2016, pp. 1-4.
[51] K. Xianhua, L. Wen, and L. Shiyuan, "Ant colony algorithm for layout decomposition in double/multiple patterning lithography," in IEEE CSTIC, 2015, pp. 1-3.
[52] K. Tian et al., "Applicability of global source mask optimization to 22/20nm node and beyond," in Proc. SPIE 7973, 2011, p. 79730C.
[53] L. Yansong et al., "Enlarge the process window of patterns in 22nm node by using mask topography aware OPC and SMO," in IEEE CSTIC, 2015, pp. 1-4.
[54] X. Ma, D. Shi, Z. Wang, Y. Li, and G. R. Arce, "Lithographic source optimization based on adaptive projection compressive sensing," Opt. Express, vol. 25, no. 6, pp. 7131-7149, Mar. 2017.
[55] M. Tawada et al., "Fast source optimization by clustering algorithm based on lithography properties," in Proc. SPIE 9427, 2015, vol. 9427, p. 94270K.
[56] X. Ma, L. Dong, C. Han, J. Gao, Y. Li, and G. R. Arce, "Gradient-based joint source polarization mask optimization for optical lithography," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 14, no. 2, p. 023504, May 2015.
[57] C. Yang, S. Li, and X. Wang, "Efficient source mask optimization using multipole source representation," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 13, no. 4, p. 043001, Oct. 2014.
[58] X. Wu, S. Liu, J. Li, and E. Y. Lam, "Efficient source mask optimization with Zernike polynomial functions for source representation," Opt. Express, vol. 22, no. 4, pp. 3924-3937, Feb. 2014.
[59] Z. Song, X. Ma, J. Gao, J. Wang, Y. Li, and G. R. Arce, "Inverse lithography source optimization via compressive sensing," Opt. Express, vol. 22, no. 12, pp. 14180-14198, Jun. 2014.
[60] W. Lv, S. Liu, X. Wu, and E. Y. Lam, "Illumination source optimization in optical lithography via derivative-free optimization," J. Opt. Soc. Am. A, vol. 31, no. 12, pp. B19-B26, Dec. 2014.
[61] H. Jiang, T. Xing, and M. Du, "Source optimization using simulated annealing algorithm," in Proc. SPIE 9282, 2014, vol. 9282, p. 928239.
[62] C. Yang, X. Wang, S. Li, and A. Erdmann, "Source mask optimization using real-coded genetic algorithms," in Proc. SPIE 8683, 2013, p. 86831T.
[63] M. Burkhardt, A. Yen, C. Progler, and G. Wells, "Illuminator design for the printing of regular contact patterns," Microelectron. Eng., vol. 41, pp. 91-95, Mar. 1998.
[64] T.-S. Gau, R.-G. Liu, C.-K. Chen, C.-M. Lai, F.-J. Liang, and C. C. Hsia, "Customized illumination aperture filter for low k1 photolithography process," in Proc. SPIE 4000, 2000, pp. 271-282.
[65] A. Erdmann, T. Fuehner, T. Schnattinger, and B. Tollkuehn, "Toward automatic mask and source optimization for optical lithography," in Proc. SPIE 5377, 2004, pp. 646-657.
[66] T. Fuehner, A. Erdmann, and T. Schnattinger, "Genetic algorithms for geometry optimization in lithographic imaging systems," in Proc. SPIE 5558, 2004, pp. 29-40.
[67] T. Fuhner, A. Erdmann, R. Farkas, B. Tollkühn, and G. Kókai, "Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems," in Applications of Evolutionary Computing: Springer, 2004, pp. 208-218.
[68] J. Bekaert et al., "Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells," in Proc. SPIE 7640, 2010, p. 764008.
[69] K. Lai et al., "Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process," in Proc. SPIE 7274, 2009, p. 72740A.
[70] D. Melville et al., "Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations," in Proc. SPIE 7640, 2010, p. 764006.
[71] M. Mulder et al., "Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems," in Proc. SPIE 7640, 2010, p. 76401P.
[72] S. Hsu, Z. Li, L. Chen, K. Gronlund, H.-y. Liu, and R. Socha, "Source-mask co-optimization: optimize design for imaging and impact of source complexity on lithography performance," in Proc. SPIE 7520, 2009, p. 75200D.
[73] G. Xiao, T. Cecil, L. Pang, B. Gleason, and J. McCarty, "Source optimization and mask design to minimize MEEF in low k1 lithography," in in Proc. SPIE 7028, 2008, p. 70280T.
[74] S. Hsu et al., "An innovative Source-Mask co-Optimization (SMO) method for extending low k1 imaging," in Proc. SPIE 7140, 2008, p. 714010.
[75] Y. Granik, "Source optimization for image fidelity and throughput," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 3, no. 4, pp. 509-522, Oct. 2004.
[76] X. Ma and G. R. Arce, "Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography," Opt. Express, vol. 17, no. 7, pp. 5783-5793, Mar. 2009.
[77] X. Ma et al., "Hybrid source mask optimization for robust immersion lithography," Appl. Opt., vol. 52, no. 18, pp. 4200-4211, Jun. 2013.
[78] X. Ma, C. Han, Y. Li, L. Dong, and G. R. Arce, "Pixelated source and mask optimization for immersion lithography," J. Opt. Soc. Am. A, vol. 30, no. 1, pp. 112-123, Jan. 2013.
[79] J. Li and E. Y. Lam, "Robust source and mask optimization compensating for mask topography effects in computational lithography," Opt. Express, vol. 22, no. 8, pp. 9471-9485, Apr. 2014.
[80] J. Li and E. Y. Lam, "Joint optimization of source, mask, and pupil in optical lithography," in Proc. SPIE 9052, 2014, vol. 9052, p. 90520S.
[81] N. Jia and E. Y. Lam, "Pixelated source mask optimization for process robustness in optical lithography," Opt. Express, vol. 19, no. 20, pp. 19384-19398, Sep. 2011.
[82] V. Tolani et al., "Source-mask co-optimization (SMO) using level set methods," in Proc. SPIE 7488, 2009, p. 74880Y.
[83] L. Pang et al., "Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods," in Proc. SPIE 7520, 2009, p. 75200X.
[84] J. C. Yu, P. Yu, and H. Y. Chao, "Library-Based Illumination Synthesis for Critical CMOS Patterning," IEEE Trans. Image Process., vol. 22, no. 7, pp. 2811-2821, Jul. 2013.
[85] L. Wang, S. Li, X. Wang, G. Yan, and C. Yang, "Pixelated source optimization for optical lithography via particle swarm optimization," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 15, no. 1, p. 013506, Feb. 2016.
[86] C. Mack, Fundamental principles of optical lithography: the science of microfabrication. John Wiley & Sons, 2008.
[87] M. Born and E. Wolf, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light. Cambridge University Press, 1999.
[88] J. W. Goodman, Introduction to Fourier Optics. McGraw-Hill, 1996.
[89] H. H. Hopkins, "The Concept of Partial Coherence in Optics," Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences, 10.1098/rspa.1951.0158 vol. 208, no. 1093, p. 263, 1951.
[90] X. Ma and G. R. Arce, Computational Lithography. Wiley, 2010.
[91] M. Dorigo and C. Blum, "Ant colony optimization theory: A survey," Theor. Comput. Sci., vol. 344, no. 2, pp. 243-278, Nov. 2005.
[92] C. Blum, "Ant colony optimization: Introduction and recent trends," Phys. Life Rev., vol. 2, no. 4, pp. 353-373, Dec. 2005.
[93] M. Dorigo and T. Stützle, Ant Colony Optimization. BRADFORD BOOK, 2004.
[94] M. Dorigo, V. Maniezzo, and A. Colorni, "Ant system: optimization by a colony of cooperating agents," IEEE Trans. Syst. Man Cybern. B Cybern., vol. 26, no. 1, pp. 29-41, Feb. 1996.
[95] C. Y. Liu, C. M. Zou, and P. Wu, "A Task Scheduling Algorithm Based on Genetic Algorithm and Ant Colony Optimization in Cloud Computing," in 13th IEEE Int. Symp. on DCABES, 2014, pp. 68-72.
[96] A. Kumar, S. Panda, S. K. Pani, V. Baghel, and A. Panda, "ACO and GA based fault-tolerant scheduling of real-time tasks on multiprocessor systems A comparative study," in IEEE 8th Int. Conf. on Intelligent Systems and Control, 2014, pp. 120-126.
[97] R. Doriya, N. Wadhwa, K. Suraj, P. Chakraborty, and G. C. Nandi, "Dynamic vehicle traffic routing problem: Study, implementation and analysis using ACO and GA," in 2014 Int. Conf. on Control, Instrumentation, Communication and Computational Technologies, 2014, pp. 1164-1171.
[98] L. Weimin, L. Sujian, Z. Fanggeng, and Z. Aiyun, "An ant colony optimization algorithm for the Multiple Traveling Salesmen Problem," in IEEE 4th Conf. on Industrial Electronics and Applications, 2009, pp. 1533-1537.
[99] K. Socha and M. Dorigo, "Ant colony optimization for continuous domains," Eur. J. Oper. Res., vol. 185, no. 3, pp. 1155-1173, Mar. 2008.
[100] J. Yang and Y. Zhuang, "An improved ant colony optimization algorithm for solving a complex combinatorial optimization problem," Appl. Soft. Comput., vol. 10, no. 2, pp. 653-660, Mar. 2010.
[101] C. Blum and M. Dorigo, "The hyper-cube framework for ant colony optimization," IEEE Trans. Syst. Man Cybern. B Cybern., vol. 34, no. 2, pp. 1161-1172, Apr. 2004.
[102] B. F. J. L. Maire and V. M. Mladenov, "Comparison of neural networks for solving the travelling salesman problem," in 11th Symp. on Neural Network Applications in Electrical Engineering, 2012, pp. 21-24.
[103] J. Ramanujam and P. Sadayappan, "Mapping combinatorial optimization problems onto neural networks," Inform. Sciences, vol. 82, no. 3–4, pp. 239-255, Jan. 1995.
[104] L. Hahn-Ming and H. Ching-Chi, "Neural network processing through energy minimization with learning ability to the multiconstraint zero-one knapsack problem," in IEEE Int. Workshop on Tools for Artificial Intelligence, 1989, pp. 548-555.
[105] I. Sabuncuoglu and B. Gurgun, "A neural network model for scheduling problems," Eur. J. Oper. Res., vol. 93, no. 2, pp. 288-299, Sep. 1996.
[106] G. Yang, J. Yi, Z. Zhang, and Z. Tang, "A TCNN filter algorithm to maximum clique problem," Neurocomputing, vol. 72, no. 4–6, pp. 1312-1318, Jan. 2009.
[107] Y. Zhou, Z. Kuang, and J. Wang, "A Chaotic Neural Network Combined Heuristic Strategy for Multidimensional Knapsack Problem," Springer Verlag, Berlin Heidelberg, 2008, pp. 715-722.
[108] Z. Ding, H. Leung, and Z. Zhu, "A study of the transiently chaotic neural network for combinatorial optimization," Math. Comput. Model, vol. 36, no. 9–10, pp. 1007-1020, Dec. 2002.
[109] K. Aihara, T. Takabe, and M. Toyoda, "Chaotic neural networks," Phys. Lett. A, vol. 144, no. 6–7, pp. 333-340, Mar. 1990.
[110] C. Shin, "Line Edge Roughness (LER)," in Variation-Aware Advanced CMOS Devices and SRAM, Dordrecht: Springer Netherlands, 2016, pp. 19-35.
[111] S. Tarutani, S. Kamimura, Y. Enomoto, and K. Katou, "Resist material for negative tone development process," in Proc. SPIE 7639, 2010, p. 763904.
[112] J. Bekaert et al., "Comparing positive and negative tone development process for printing the metal and contact layers of the 32- and 22-nm nodes," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 9, no. 4, p. 043007, Dec. 2010.
[113] J. Bekaert et al., "Experimental verification of source-mask optimization and freeform illumination for 22-nm node static random access memory cells," J. Micro/Nanolithogr., MEMS, MOEMS, vol. 10, no. 1, p. 013008, Mar. 2011.

QR CODE