簡易檢索 / 詳目顯示

研究生: 林哲旭
Che-Hsu Lin
論文名稱: 以機器學習加速基於向量式動態電壓降分析
Facilitate Vector-based Dynamic IR Drop Analysis with Machine Learning
指導教授: 陳勇志
Yung-Chih Chen
口試委員: 方劭云
Shao-Yun Fang
劉一宇
Yi-Yu Liu
林政宏
Cheng-Hung Lin
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2023
畢業學年度: 111
語文別: 英文
論文頁數: 53
中文關鍵詞: 向量式動態電壓降機器學習卷積神經網路極限梯度提升 機
外文關鍵詞: Vector-based dynamic IR drop, Machine learning, CNN, XGBoost
相關次數: 點閱:296下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

在使用商業軟體進行動態電壓降 (Dynamic IR drop) 分析時非常地耗時, 而在實際應用中,需要大量的測試向量來驗證電路的電源完整性。在這篇 論文中,我們提出了一種基於機器學習 (Machine learning) 的向量式動態 電壓降預測方法。我們利用兩種不同機器學習模型的優勢並結合與電路中 封裝效應相關的新特徵,來實現對違規單元進行更準確的電壓降預測。我 們提出的模型由一個卷積神經網絡 (CNN) 分類器和兩個極限梯度提升機 回歸器 (XGBoost regressor) 組成。分類器用來捕捉空間上的資訊,而回 歸器提供準確的電壓降預測。我們利用這個兩階段模型來減輕傳統測試流 程中的瓶頸問題。通過用少量測試向量來訓練模型,其餘的測試向量可以 在功耗分析後使用模型做動態電壓降預測。實驗結果表明,我們提出的方 法在預測違規單元上的平均絕對誤差 (Mean absolute error) 低於理想電壓 的 0.87%。此外,與單級極限梯度提升機回歸器相比,我們的方法在預測 違規單元方面提高了 44.7%。相比於使用商業工具,使用我們的方法可以 將動態電壓降分析的速度提高 2.5 倍。


Dynamic IR drop analysis using commercial tools can be time-consuming, and in practical applications, numerous test patterns are required to verify the power integrity of circuits. In this thesis, we propose a machine learning (ML)-based method for vector-based dynamic IR drop prediction. We aim to achieve more accurate IR drop predictions for violation cells by leveraging the advantages of two different ML models and incorporating new features related to the package effect. Our proposed model consists of a CNN classifier and two XGBoost regressors. The CNN classifier captures regional information, while the regressors provide accurate IR drop predictions. We utilize the proposed two-level model to alleviate the bottleneck in the traditional IR sign-off flow. By training the model with a small number of patterns, the remaining patterns can be used for IR drop prediction after power analysis. Experimental results demonstrate that the proposed method achieves an MAE error of less than 0.87% of the ideal VDD on violation cells. Additionally, compared to the one-level XGBoost regressor, the proposed method shows a 44.7% improvement in predicting violation cells. With the proposed method, we can speed up the dynamic IR drop analysis by 2.5 times compared to using commercial tools.

Abstract in Chinese.......................................iii Abstract in English.......................................iv Acknowledgements......................................... v List of Figures...........................................viii List of Tables........................................... ix Chapter 1 Introduction....................................1 Chapter 2 Background......................................8 2.1 The Models of The State-of-the-art Methods........... 8 2.2 Related Works.........................................11 Chapter 3 Proposed Method................................ 15 3.1 Proposed Flow.........................................15 3.2 Feature Extraction and Processing.....................16 3.3 Data Augmentation.....................................20 3.4 Model Architecture................................... 22 3.5 Model Training....................................... 24 Chapter 4 Experimental Results............................26 4.1 Environment Setup.....................................26 4.2 Facilitate Vector-based Dynamic IR Drop...............29 4.3 Evaluate Robustness of IR Drop Predictor............. 34 4.4 Different Feature Maps of CNN Classifier............. 36 4.5 Comparison of Different Data Augmentation Methods.....38 Chapter 5 Conclusion......................................40 References............................................... 41

[1] S. Nithin, G. Shanmugam, and S. Chandrasekar, “Dynamic voltage (ir) drop analysis and design closure: Issues and challenges,” in 2010 11th International Symposium on Quality Electronic Design (ISQED), pp. 611–617, IEEE, 2010.
[2] Y. Yamato, T. Yoneda, K. Hatayama, and M. Inoue, “A fast and accurate per-cell dynamic ir-drop estimation method for at-speed scan test pattern validation,” in 2012 IEEE International Test Conference, pp. 1–8, IEEE, 2012.
[3] H. Dhotre, S. Eggersglüß, and R. Drechsler, “Identification of efficient clustering techniques for test power activity on the layout,” in 2017 IEEE 26th Asian Test Symposium (ATS), pp. 108–113, IEEE, 2017.
[4] S.-Y. Lin, Y.-C. Fang, Y.-C. Li, Y.-C. Liu, T.-S. Yang, S.-C. Lin, C.-M. Li, and E. J.-W. Fang, “Ir drop prediction of eco-revised circuits using machine learning,” in 2018 IEEE 36th VLSI Test Symposium (VTS), pp. 1–6, IEEE, 2018.
[5] Y.-C. Fang, H.-Y. Lin, M.-Y. Sui, C.-M. Li, and E. J.-W. Fang, “Machine-learning-based dynamic ir drop prediction for eco,” in 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–7, ACM, 2018.
[6] V. A. Chhabria, Y. Zhang, H. Ren, B. Keller, B. Khailany, and S. S. Sapatnekar, “Mavirec: Ml-aided vectored ir-drop estimation and classification,” in 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1825–1828, IEEE, 2021.
[7] J.-X. Chen, S.-T. Liu, Y.-T. Wu, M.-T. Wu, C.-M. Li, N. Chang, Y.-S. Li, and W.-T. Chuang, “Vectorbased dynamic ir-drop prediction using machine learning,” in 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 202–207, IEEE, 2022.
[8] Z. Xie, H. Ren, B. Khailany, Y. Sheng, S. Santosh, J. Hu, and Y. Chen, “Powernet: Transferable dynamic ir drop estimation via maximum convolutional neural network,” in 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 13–18, IEEE, 2020.
[9] K. O’Shea and R. Nash, “An introduction to convolutional neural networks,” CoRR, vol. abs/ 1511.08458, 2015.
[10] T. Chen and C. Guestrin, “Xgboost: A scalable tree boosting system,” in Proceedings of the 22nd acm sigkdd international conference on knowledge discovery and data mining, pp. 785–794, 2016.
[11] O. Ronneberger, P. Fischer, and T. Brox, “U-net: Convolutional networks for biomedical image segmentation,” CoRR, vol. abs/1505.04597, 2015.
[12] Cadence, “Voltus IC Power Integrity Solution,” 2023.
[13] Cadence, “Innovus Implementation System,” 2023.
[14] H. Zhang, M. Cissé, Y. N. Dauphin, and D. Lopez-Paz, “mixup: Beyond empirical risk minimization,” CoRR, vol. abs/1710.09412, 2017.
[15] N. V. Chawla, K. W. Bowyer, L. O. Hall, and W. P. Kegelmeyer, “Smote: synthetic minority oversampling technique,” Journal of artificial intelligence research, vol. 16, pp. 321–357, 2002.

無法下載圖示
全文公開日期 2029/08/15 (校外網路)
全文公開日期 2029/08/15 (國家圖書館:臺灣博碩士論文系統)
QR CODE