簡易檢索 / 詳目顯示

研究生: 李昱明
YU-MING LI
論文名稱: 基於機器學習之光源最佳化設計
Source Optimization Based on Machine Learning
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 郭永麟
Yong-Lin Kuo
徐勝均
Sendren Sheng-Dong Xu
方劭云
Shao-Yun Fang
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2021
畢業學年度: 109
語文別: 中文
論文頁數: 86
中文關鍵詞: 解析度增強技術光源最佳化多目標蟻群演算法自動編碼器自注意力層
外文關鍵詞: Resolution Enhancement Technique, Source Optimization, Multi-Objective Ant Colony Optimization, Autoencoder, Self-attention Layer
相關次數: 點閱:366下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

近幾十年來半導體業廣泛應用微影技術將電路設計的圖形轉印至矽晶圓基板上,但隨著關鍵尺寸(Critical Dimension)的日漸微縮,物理的極限以及因越趨複雜的設計進而產生的曝光缺陷皆是製程上必須面臨的挑戰,而解析度增強技術(Resolution Enhancement Technique, RET)正是克服難關的重要技術,RET多項技術內光源最佳化扮演著極為重要的角色。於本篇論文中,採用次經驗法則(Meta-Heuristic)的多目標蟻群演算法(Multi-Objective Ant Colony Optimization, MOACO),針對DRAM光罩圖案於解空間(Solution Space)生成比傳統光源形狀自由度更高的Freeform Source光源,並結合機器學習模型加速演算法收斂速度。依據MOACO本身的特性可確保結果的收斂,但在收斂時間上具有不穩定性,因應此問題除加入光源生成的限制外,將演算法結合自注意力自動編碼器(Self-attention Autoencoder, SAAE),此一模型所提供演算法的初始解(Initial Solution)用於縮小解空間的範圍,進而達到縮減演算法收斂時間的目的。演算法生成之光源其微影評估將利用KLA_Tencor標準微影模擬軟體PROLITH所計算出的空間潛像(Aerial Image, AI)進行驗證,並也用於分析機器學習模型產生之初始解的差異性。在二維Contact Hole及Line Space Array的光罩測試下,結合機器學習模型的演算法相較於無提供初始解的演算法加速了53%和42%,所得Freeform Source在曝光寬容度(Exposure Latitude, EL) = 5%下,擁有80.5nm和589.9 nm的聚焦深度(Depth of Focus, DOF)並大於ACO光源的73.6 nm和546.3 nm,由此證明本篇論文研究成功開發結合機器學習之光源最佳化設計。


In recent decades, the semiconductor industry has widely used lithography technology to transfer circuit design patterns to silicon wafer substrates. However, as critical dimensions (CD) shrink, physical limitations and exposure defects caused by complex designs are the challenges that must be faced in the manufacturing process. Resolution enhancement technique (RET) is becoming an important technology to overcome difficulties. The light source optimization in the RET technologies plays an extremely important role. In this paper, the Meta-Heuristic Multi-Objective Ant Colony Optimization (MOACO) algorithm is used to generate a flexible freeform source for DRAM pattern. Although MOACO is proven to be convergent, the convergence time is unstable. In response to this problem, in addition to the limitation of light source generation, the algorithm is combined with the self-attention autoencoder (SAAE). SAAE extracts mask features to generate greedy solution to accelerate convergence. The lithography evaluation of the source generated by the algorithm will be verified using the aerial image (AI) calculated by the KLA_Tencor standard lithography simulation software PROLITH, and it will also be used to analyze the difference of the greedy solution generated by the SAAE. In the 2D contact hole and line space array mask tests, the ML-based MOACO is 53% and 42% faster than the algorithm without the initial solution. In the case of EL = 5%, the depth of focus (DOF) is up to 80.5 nm and 589.9 nm respectively, better than 73.6 nm and 546.3 nm of ACO source shape. According to the test results, it is proved that MOACO based on machine learning is effectively applied to DRAM pattern design.

致謝 I 摘要 II ABSTRACT III 目錄 IV 圖目錄 VI 表目錄 IX 第一章 緒論 1 1.1 前言 1 1.2 文獻探討 2 1.3 研究動機 5 1.4 論文架構 6 第二章 光源圖案設計理論 8 2.1 簡介 8 2.2 瞳孔圖像與空間潛像 8 2.3 單目標蟻群演算法 12 2.4 潛像品質評估 19 2.5 小結 26 第三章 多目標蟻群最佳化程序設計 27 3.1 簡介 27 3.2 基於MOACO光源最佳化 27 3.3 光源補償設計 33 3.4 二維圖案測試 37 3.5 小結 45 第四章 自注意力編碼器 46 4.1 簡介 46 4.2 機器學習結合多目標蟻群演法 46 4.3 自動編碼器及自注意力機制 49 4.4 模型訓練測試 61 4.5 小結 66 第五章 結論 67 5.1 模擬結果分析比較 67 5.2 本研究之貢獻 68 5.3 本研究之未來方向 68 參考文獻 69

[1] M. M. Waldrop, "The chips are down for Moore’s law," Nature News, vol. 530, no. 7589, p. 144, 2016.
[2] R. R. Schaller, "Moore's law: Past, present and future," IEEE Spectrum, vol. 34, no. 6, pp. 52-59, 1997.
[3] M. van den Brink, "Continuing to shrink: Next-generation lithography-progress and prospects," in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2013: IEEE, pp. 20-25.
[4] Z. Zhu et al., "High-fidelity lithography," in 2015 China Semiconductor Technology International Conference, 2015: IEEE, pp. 1-4.
[5] V. Singh, "Lithography at 14nm and beyond: Choices and challenges," in 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), 2011: IEEE, pp. 459-459.
[6] R. Voelkel, "Micro-optics: enabling technology for illumination shaping in optical lithography," in Optical Microlithography XXVII, 2014, vol. 9052: International Society for Optics and Photonics, p. 90521U.
[7] F. Zhang et al., "Off-line inspection method of microlens array for illumination homogenization in DUV lithography machine," in 2013 International Conference on Optical Instruments and Technology: Optoelectronic Measurement Technology and Systems, 2013, vol. 9046: International Society for Optics and Photonics, p. 904619.
[8] T. Chen et al., "Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination," in Optical Microlithography XIX, 2006, vol. 6154: International Society for Optics and Photonics, p. 61541O.
[9] R.-H. Kim et al., "Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs," in Extreme Ultraviolet (EUV) Lithography VII, 2016, vol. 9776: International Society for Optics and Photonics, p. 97761R.
[10] L. Wu et al., "Building block style recipes for productivity improvement in OPC, RET and ILT flows," in Design-Process-Technology Co-optimization for Manufacturability X, 2016, vol. 9781: International Society for Optics and Photonics, p. 978116.
[11] N. Zeggaoui et al., "Enabling the 14nm node contact patterning using advanced RET solutions," in 31st European Mask and Lithography Conference, 2015, vol. 9661: International Society for Optics and Photonics, p. 96610Q.
[12] S. Hsu et al., "EUV resolution enhancement techniques (RETs) for k1 0.4 and below," in Extreme Ultraviolet (EUV) Lithography VI, 2015, vol. 9422: International Society for Optics and Photonics, p. 94221I.
[13] Y. Ping et al., "Process window enhancement using advanced RET techniques for 20nm contact layer," in Optical Microlithography XXVII, 2014, vol. 9052: International Society for Optics and Photonics, p. 90521N.
[14] A. D. Dave et al., "Pushing the limits of RET with different illumination optimization methods," in Optical Microlithography XXII, 2009, vol. 7274: International Society for Optics and Photonics, p. 72741C.
[15] A. K.-K. Wong, Resolution enhancement techniques in optical lithography. SPIE Press, 2001.
[16] H.-Y. Sim et al., "Study of various RET for process margin improvement in 3Xnm DRAM contact," in Optical Microlithography XXIV, 2011, vol. 7973: International Society for Optics and Photonics, p. 79732N.
[17] A. Erdmann et al., "Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 18, no. 1, p. 011005, 2018.
[18] C. A. Mack, "Off-axis illumination," Microlithography World, vol. 12, no. 3, pp. 14-16, 2003.
[19] T.-S. Gau et al., "Customized illumination aperture filter for low k1 photolithography process," in Optical Microlithography XIII, 2000, vol. 4000: International Society for Optics and Photonics, pp. 271-282.
[20] M. Burkhardt et al., "Illuminator design for the printing of regular contact patterns," Microelectronic Engineering, vol. 41, pp. 91-95, 1998.
[21] A. Erdmann et al., "Toward automatic mask and source optimization for optical lithography," in Optical Microlithography XVII, 2004, vol. 5377: International Society for Optics and Photonics, pp. 646-657.
[22] T. Fühner et al., "Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems," in Workshops on Applications of Evolutionary Computation, 2004: Springer, pp. 208-218.
[23] J. Bekaert et al., "Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells," in Optical Microlithography XXIII, 2010, vol. 7640: International Society for Optics and Photonics, p. 764008.
[24] Y. Granik, "Source optimization for image fidelity and throughput," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 3, no. 4, pp. 509-522, 2004.
[25] L. Wang et al., "Pixelated source optimization for optical lithography via particle swarm optimization," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 15, no. 1, p. 013506, 2016.
[26] W. Wang et al., "Illumination optimization for lithography tools ope matching at 28 nm nodes," in 2017 China Semiconductor Technology International Conference (CSTIC), 2017: IEEE, pp. 1-4.
[27] L. Li et al., "A novel positive tone development method for defect reduction in the semiconductor 193nm immersion lithography process," in Optical Microlithography XXXI, 2018, vol. 10587: International Society for Optics and Photonics, p. 105871I.
[28] J. Ngunjiri et al., "High-speed atomic force microscopy studies of 193-nm immersion photoresists during tetramethylammonium hydroxide development," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 17, no. 2, p. 023506, 2018.
[29] J. Doise et al., "Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 2, p. 023506, 2017.
[30] P. Brandt et al., "Comparison between e-beam direct write and immersion lithography for 20-nm node," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 14, no. 3, p. 031214, 2015.
[31] M. Dorigo et al., "Ant colony optimization theory: A survey," Theoretical Computer Science, vol. 344, no. 2-3, pp. 243-278, 2005.
[32] C. Blum, "Ant colony optimization: Introduction and recent trends," Physics of Life Reviews, vol. 2, no. 4, pp. 353-373, 2005.
[33] M. Dorigo et al., "Ant system: Optimization by a colony of cooperating agents," IEEE Transactions on Systems, Man, and Cybernetics, Part B (Cybernetics), vol. 26, no. 1, pp. 29-41, 1996.
[34] E. Zitzler et al., "Comparison of multiobjective evolutionary algorithms: Empirical results," Evolutionary Computation, vol. 8, no. 2, pp. 173-195, 2000.
[35] A. Konak et al., "Multi-objective optimization using genetic algorithms: A tutorial," Reliability Engineering & System Safety, vol. 91, no. 9, pp. 992-1007, 2006.
[36] X. Ma et al., "Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography," Optics Express, vol. 17, no. 7, pp. 5783-5793, 2009.
[37] L. Lam et al., "Thinning methodologies-a comprehensive survey," IEEE Transactions on Pattern Analysis and Machine Intelligence, vol. 14, no. 9, pp. 869-885, 1992.
[38] M. Dorigo et al., "Ant colony optimization," IEEE Computational Intelligence Magazine, vol. 1, no. 4, pp. 28-39, 2006.
[39] W. J. Gutjahr, "A graph-based ant system and its convergence," Future Generation Computer Systems, vol. 16, no. 8, pp. 873-888, 2000.
[40] H. Bourlard et al., "Auto-association by multilayer perceptrons and singular value decomposition," Biological Cybernetics, vol. 59, no. 4, pp. 291-294, 1988.
[41] G. E. Hinton et al., "Reducing the dimensionality of data with neural networks," Science, vol. 313, no. 5786, pp. 504-507, 2006.
[42] T. T. Rogers et al., "Parallel distributed processing at 25: Further explorations in the microstructure of cognition," Cognitive Science, vol. 38, no. 6, pp. 1024-1077, 2014.
[43] Y. Zhang et al., "Modified deep learning approach for layout hotspot detection," in 2018 IEEE International Conference on Electron Devices and Solid State Circuits (EDSSC), 2018: IEEE, pp. 1-2.
[44] P. Kareem et al., "Synthesis of lithography test patterns using machine learning model," IEEE Transactions on Semiconductor Manufacturing, vol. 34, no. 1, pp. 49-57, 2021.
[45] H. Yang et al., "GAN-OPC: Mask optimization with lithography-guided generative adversarial nets," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 10, pp. 2822-2834, 2019.
[46] V. Dumoulin et al., "A guide to convolution arithmetic for deep learning," arXiv Preprint arXiv:1603.07285, 2016.
[47] A. F. Agarap, "Deep learning using rectified linear units (relu)," arXiv Preprint arXiv:1803.08375, 2018.
[48] H. Noh et al., "Learning deconvolution network for semantic segmentation," in Proceedings of the IEEE International Conference on Computer Vision, 2015, pp. 1520-1528.
[49] A. Vaswani et al., "Attention is all you need," in Advances in Neural Information Processing Systems, 2017, pp. 5998-6008.
[50] D. Meng et al., "Frame attention networks for facial expression recognition in videos," in 2019 IEEE International Conference on Image Processing (ICIP), 2019: IEEE, pp. 3866-3870.
[51] A. Creswell, K. Arulkumaran, and A. A. Bharath, "On denoising autoencoders trained to minimise binary cross-entropy," arXiv Preprint arXiv:1708.08487, 2017.

無法下載圖示 全文公開日期 2024/10/18 (校內網路)
全文公開日期 2024/10/18 (校外網路)
全文公開日期 2024/10/18 (國家圖書館:臺灣博碩士論文系統)
QR CODE