簡易檢索 / 詳目顯示

研究生: 林耘頡
Yun-Jie Lin
論文名稱: 基於有限影像集量測一維週期性特徵尺寸
Measurement of One-Dimensional Periodic Feature Size Based on Limited Image Dataset
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 王丞浩
Chen-Hao Wang
郭俞麟
Yu-Lin Kuo
徐勝均
Shen-Dren Xu
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2023
畢業學年度: 111
語文別: 中文
論文頁數: 86
中文關鍵詞: 散射量測光學關鍵尺寸數據集擴增有限數據集生成對抗神經網路
外文關鍵詞: Scatterometry, OCD, Data augmentation, Limited dataset, GAN
相關次數: 點閱:199下載:3
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 半導體製程技術的進步促使元件結構的微縮,除了對製程技術迎來更大的挑戰,同時也需要更有效率的量測方法來驗證製程結果。關鍵尺寸(Critical dimension)量測技術是驗證製程標準的關鍵步驟。傳統的關鍵尺寸量測技術需要使用電子束或接觸式探針對結構表面進行掃描來得到量測結果,雖然能夠獲得精確的量測結果,但有耗時長、具破壞性等缺點。光學散射量測技術(Scatterometry)則提供了快速且非破壞性的在線量測方法,透過測量週期性結構的光學關鍵尺寸(Optical Critical Dimension, OCD)與建立好的數據庫分析比對,得到準確的奈米級表面輪廓訊息,但此方法的準確性對於數據庫具有很高的依賴性。本文中的光學量測方法結合了數據集擴增技術(Data augmentation)來克服在有限數據集(Limited dataset)的條件下使用機器學習(Machine learning)方法進行關鍵尺寸的預測,探討在製程中大量量測樣本收集不易的限制下的量測解決辦法。本研究將設計一維週期性結構圖案,模擬光學散射量測繞射影像數據,有限的繞射影像數據樣本包含10種線寬變化的30個圖案數據集。透過結合傳統與神經網路的數據擴增方法,將有限的繞射影像數據集進行擴增,透過訓練可以透過影像標籤控制輸出影像的生成對抗網路(Generative Adversarial Network, GAN)模型將繞射影像數據擴增為2000筆數據資料集,擴增的繞射影像數據集透過SSIM驗證其具有足夠多樣性,再使用擴增的繞射影像數據集進行卷積神經網路模型訓練,訓練完成的神經網路驗證對輸入繞射影像所預測的關鍵尺寸進行預測。針對兩種生成對抗網路架構和兩種卷積神經網路模型預測結果進行比較,線寬預測準確度皆高於90%,預測結果可靠度由F1-Score驗證,預測分數皆高於0.93,由此證明本篇論文成功在有限的影像數據集條件下,透過影像擴增技術輔助神經網路進行預測模型的訓練並實現關鍵尺寸的預測是可行的。


    The advancement of semiconductor manufacturing technology has led to the miniaturization of component structures, which not only poses a greater challenge to process technology but also requires more efficient measurement methods to verify the process results. Critical dimension measurement technology is a key step in verifying process standards. Conventional critical dimension measurement techniques use electron beams or contact probes to scan the surface of the structure to obtain measurement results. Scatterometry provides a fast and nondestructive measurement method by measuring the optical critical dimension (OCD) of a periodic structure and comparing it with an established library to obtain accurate nanoscale surface profile information, but the accuracy of this method is highly dependent on the database. The optical measurement method in this paper incorporates data augmentation to overcome the limitation of using machine learning for critical dimension prediction under the condition of a limited dataset. One-dimensional periodic target patterns are designed to simulate diffraction maps as image datasets. By combining traditional and neural network augmentation methods, the limited image dataset is scaled up to 2000 images by generative adversarial network (GAN) models that can control the output images by image labels. The expanded dataset is verified to be sufficiently diverse by SSIM, and the dataset is then used to train convolutional neural network models. The accuracy of the prediction results of the CNN models are higher than 90%, and the F1-score are higher than 0.93. These results demonstrate the success of critical dimension measurement for a limited image dataset using data augmentation and convolutional networks.

    致謝 I 摘要 II ABSTRACT III 目錄 IV 圖目錄 V 表目錄 VI 第一章 緒論 1 1.1 前言 1 1.2 文獻探討 3 1.3 研究動機 5 1.4 論文架構 6 第二章 量測一維週期性特徵 8 2.1 簡介 8 2.2 關鍵尺寸量測技術 8 2.3 一維週期性圖案設計 13 2.4 繞射影像數據及模擬 19 2.5 小結 25 第三章 有限影像數據集擴增 27 3.1 簡介 27 3.2 繞射影像數據擴增方法 27 3.3 繞射影像數據集前處理 32 3.4 對抗生成神經網路設計與訓練 36 3.5 小結 46 第四章 卷積神經網路預測參數 48 4.1 簡介 48 4.2 卷積神經網路設計 48 4.3 神經網路模型訓練與預測 55 4.4 預測參數驗證與分析 60 4.5 小結 65 第五章 結論 66 5.1 訓練結果分析比較 66 5.2 本研究之貢獻 67 5.3 本研究之未來方向 68 參考文獻 69

    [1] A. Kelleher, "Celebrating 75 years of the transistor A look at the evolution of Moore’s Law innovation," in 2022 International Electron Devices Meeting (IEDM),: IEEE, pp. 1.1. 1-1.1. 5, 2022
    [2] M. Neisser, "International roadmap for devices and systems lithography roadmap," Journal of Micro/Nanopatterning, Materials, and Metrology, vol. 20, no. 4, pp. 044601-044601, 2021.
    [3] M. Moore, "International roadmap for devices and systems," Accessed: Jan, 2020.
    [4] W. Chen, M. Tymchenko, P. Gopalan, "Large-area nanoimprinted colloidal Au nanocrystal-based nanoantennas for ultrathin polarizing plasmonic metasurfaces," Nano letters, vol. 15, no. 8, pp. 5254-5260, 2015.
    [5] C. Zhao, X. Xu, A. R. Ferhan, N. Chiang, J. A. Jackman, Q. Yang, "Scalable fabrication of quasi-one-dimensional gold nanoribbons for plasmonic sensing," Nano letters, vol. 20, no. 3, pp. 1747-1754, 2020.
    [6] R. Zhu, J. J. Faria-Briceno, S. Brueck, P. Joseph, S. Singhal, and S. Sreenivasan, "Nanoscale limits of angular optical scatterometry," AIP Advances, vol. 10, no. 1, p. 015140, 2020.
    [7] T. Jo, I. Choi, D. Choi, Y. Bae, S. Byoun, I. Kim, "Machine learning aided process control: critical dimension uniformity control of etching process in 1znm DRAM," in Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, vol. 11611: SPIE, pp. 368-373, 2021.
    [8] W. Zhang, J. Xu, S. Wang, Y. Zhou, and J. Mi, "Metrology challenges in 3D NAND flash technical development and manufacturing," J. Microelectron. Manuf, vol. 3, no. 1, pp. 1-8, 2019.
    [9] J. Y. Baek, P. Leray, A.-L. Charley, and C. J. Spanos, "Real-time inspection system utilizing scatterometry pupil data," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, no. 4, pp. 041403-041403, 2014.
    [10] B. Bunday, E. Solecky, A. Vaid, A. Bello, and X. Dai, "Metrology capabilities and needs for 7nm and 5nm logic nodes," in Metrology, Inspection, and Process Control for Microlithography XXXI, vol. 10145: SPIE, pp. 102-142, 2017.
    [11] J. J. Faria-Briceno, R. Zhu, V. Sasidharan, A. Neumann, S. Singhal, S. Sreenivasan, "Optical angular scatterometry: In-line metrology approach for roll-to-roll and nanoimprint fabrication," Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol. 37, no. 5, p. 052904, 2019.
    [12] W. Yang, R. Lowe-Webb, R. Korlahalli, V. Zhuang, H. Sasano, W. Liu, "Line-profile and critical dimension measurements using a normal incidence optical metrology system," in 13th Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference. Advancing the Science and Technology of Semiconductor Manufacturing. ASMC 2002 (Cat. No. 02CH37259): IEEE, pp. 119-124, 2002.
    [13] B. M. Barnes, M.-A. Henn, M. Y. Sohn, H. Zhou, and R. M. Silver, "Enabling quantitative optical imaging for in-die-capable critical dimension targets," in Metrology, Inspection, and Process Control for Microlithography XXX, vol. 9778: SPIE, pp. 317-332, 2016.
    [14] A. Mohammed and A. Abdullah, "Scanning electron microscopy (SEM): A review," in Proceedings of the 2018 International Conference on Hydraulics and Pneumatics—HERVEX, Băile Govora, Romania, vol. 2018, pp. 7-9, 2018.
    [15] S. V. Kalinin, C. Ophus, P. M. Voyles, R. Erni, D. Kepaptsoglou, V. Grillo, "Machine learning in scanning transmission electron microscopy," Nature Reviews Methods Primers, vol. 2, no. 1, p. 11, 2022.
    [16] M. H. Madsen and P.-E. Hansen, "Scatterometry—fast and robust measurements of nano-textured surfaces," Surface Topography: Metrology and Properties, vol. 4, no. 2, p. 023003, 2016.
    [17] C.-M. Ke, C.-C. Lee, Y.-H. Wang, H.-J. Lee, C.-H. Lin, T.-S. Gau, "Evaluation of line and hole measurement by high-resolution low-magnification CD SEM," in Metrology, Inspection, and Process Control for Microlithography XIX, vol. 5752: SPIE, pp. 1292-1299, 2005.
    [18] J. L. Knobloch, B. McBennett, C. S. Bevis, S. Yazdi, T. D. Frazer, A. Adak, "Structural and Elastic Properties of Empty-Pore Metalattices Extracted via Nondestructive Coherent Extreme UV Scatterometry and Electron Tomography," ACS Applied Materials & Interfaces, vol. 14, no. 36, pp. 41316-41327, 2022.
    [19] J. G. Rivas, M. Ramezani, M. Verschuuren, and G. Castellanos, "Large-area scatterometry for nanoscale metrology," in Advanced Fabrication Technologies for Micro/Nano Optics and Photonics XVI, vol. 12433: SPIE, pp. 112-114, 2023.
    [20] Q. Wang, Y. Wei, Q. Wu, Y. Li, and X. Liu, "An optical critical dimension (OCD) model analysis on 3nm complementary FET (CFET) gate stacks," in Metrology, Inspection, and Process Control XXXVII, vol. 12496: SPIE, pp. 708-711, 2023.
    [21] T. Gentner, J. Breitenbach, T. Neitzel, J. Schulze, and R. Buettner, "A systematic literature review of machine learning applications for process monitoring and control in semiconductor manufacturing," in 2022 IEEE 46th Annual Computers, Software, and Applications Conference (COMPSAC),: IEEE, pp. 1081-1086, 2022.
    [22] B. Bringoltz, E. Rothstein, I. Rubinovich, Y. Kim, N. Tal, O. Cohen, "Machine learning and big data in optical CD metrology for process control," in 2018 e-Manufacturing & Design Collaboration Symposium (eMDC): IEEE, pp. 1-4, 2018.
    [23] S. Liu, X. Chen, T. Yang, C. Guo, J. Zhang, J. Ma, "Machine learning aided solution to the inverse problem in optical scatterometry," Measurement, vol. 191, p. 110811, 2022.
    [24] M. I. Jordan and T. M. Mitchell, "Machine learning: Trends, perspectives, and prospects," Science, vol. 349, no. 6245, pp. 255-260, 2015.
    [25] K. Maharana, S. Mondal, and B. Nemade, "A review: Data pre-processing and data augmentation techniques," Global Transitions Proceedings, 2022.
    [26] T. Robinson, "Few-shot learning for defence and security," in Artificial Intelligence and Machine Learning for Multi-Domain Operations Applications II, vol. 11413: SPIE, pp. 93-106, 2020.
    [27] A. Aggarwal, M. Mittal, and G. Battineni, "Generative adversarial network: An overview of theory and applications," International Journal of Information Management Data Insights, vol. 1, no. 1, p. 100004, 2021.
    [28] J. Gu, Z. Wang, J. Kuen, L. Ma, A. Shahroudy, B. Shuai, "Recent advances in convolutional neural networks," Pattern recognition, vol. 77, pp. 354-377, 2018.
    [29] K. Ding, Z. Xu, H. Tong, and H. Liu, "Data augmentation for deep graph learning: A survey," ACM SIGKDD Explorations Newsletter, vol. 24, no. 2, pp. 61-77, 2022.
    [30] I. Goodfellow, J. Pouget-Abadie, M. Mirza, B. Xu, D. Warde-Farley, S. Ozair, "Generative adversarial networks," Communications of the ACM, vol. 63, no. 11, pp. 139-144, 2020.
    [31] M. Mirza and S. Osindero, "Conditional generative adversarial nets," arXiv preprint arXiv:1411.1784, 2014.
    [32] J.Y. Zhu, T. Park, P. Isola, and A. A. Efros, "Unpaired image-to-image translation using cycle-consistent adversarial networks," in Proceedings of the IEEE international conference on computer vision, pp. 2223-2232, 2017.
    [33] A. Odena, C. Olah, and J. Shlens, "Conditional image synthesis with auxiliary classifier gans," in International Conference on Machine Learning, pp. 2642-2651, 2017.
    [34] S. Yan, S. Ding, S. Wang, C. Luo, L. Li, J. Ai, "Based on deep learning CD-SEM image defect detection system," in 2022 China Semiconductor Technology International Conference (CSTIC), IEEE, pp. 1-4, 2022.
    [35] P.-E. Hansen and L. Siaudinyte, "A virtual microscope for simulation of Nanostructures," in European Physical Journal Web of Conferences, vol. 266, p. 10004, 2022.
    [36] H. Choi, K. Lee, J. Doh, J. Jeong, T. Kwag, M. Kim, "Sensitivity enhancement in OCD metrology by optimizing azimuth angle based on the RCWA simulation," Solid-State Electronics, vol. 200, p. 108574, 2023.
    [37] G. L. Whitworth, A. Francone, C. M. Sotomayor-Torres, and N. Kehagias, "Real-time optical dimensional metrology via diffractometry for nanofabrication," Scientific Reports, vol. 10, no. 1, p. 5371, 2020.
    [38] K. G. Makris and D. Psaltis, "Huygens–Fresnel diffraction and evanescent waves," Optics Communications, vol. 284, no. 6, pp. 1686-1689, 2011.
    [39] K. M. Abedin and S. M. Rahman, "Fresnel diffraction from N-apertures: Computer simulation by iterative Fresnel integrals method," Optik, vol. 126, no. 23, pp. 3743-3751, 2015.
    [40] J. Eastwood, L. Newton, R. Leach, and S. Piano, "Generation and categorisation of surface texture data using a modified progressively growing adversarial network," Precision Engineering, vol. 74, pp. 1-11, 2022.
    [41] Y. Midoh and K. Nakamae, "Image quality enhancement of a CD-SEM image using conditional generative adversarial networks," in Metrology, Inspection, and Process Control for Microlithography XXXIII, vol. 10959: SPIE, pp. 37-46, 2019.
    [42] N. Elaraby, S. Barakat, and A. Rezk, "A conditional GAN-based approach for enhancing transfer learning performance in few-shot HCR tasks," Scientific Reports, vol. 12, no. 1, p. 16271, 2022.
    [43] D. Ghimire, D. Kil, and S.-h. Kim, "A survey on efficient convolutional neural networks and hardware acceleration," Electronics, vol. 11, no. 6, p. 945, 2022.
    [44] H. M. Oh, H. Lee, and M. Y. Kim, "Comparing Convolutional Neural Network (CNN) models for machine learning-based drone and bird classification of anti-drone system," in 2019 19th International Conference on Control, Automation and Systems (ICCAS), IEEE, pp. 87-90, 2019.
    [45] M. Choudhary, V. Tiwari, and U. Venkanna, "Unraveling deep learning performance in cross-sensor iris recognition," in ICDSMLA 2020: Proceedings of the 2nd International Conference on Data Science, Machine Learning and Applications, Springer, pp. 93-104, 2022.
    [46] A. Abu-Srhan, M. A. Abushariah, and O. S. Al-Kadi, "The effect of loss function on conditional generative adversarial networks," Journal of King Saud University-Computer and Information Sciences, vol. 34, no. 9, pp. 6977-6988, 2022.

    QR CODE