簡易檢索 / 詳目顯示

研究生: 李昇儒
Sheng-lu Lee
論文名稱: 低溫閘極絕緣膜改質技術開發
Development of low temperature gate oxide modification
指導教授: 葉文昌
Wen-chang Yeh
口試委員: 鄭正元
Jeng-ywan Jeng
李奎毅
Kuei-yi Lee
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2006
畢業學年度: 94
語文別: 中文
論文頁數: 52
中文關鍵詞: 塑膠基板半吸光層準分子雷射退火低溫閘極絕緣膜
外文關鍵詞: plastic substrate, semi-photo sensitive layer, excimer laser annealing, low temperature gate oxide
相關次數: 點閱:375下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 為了達成塑膠基板薄膜電晶體的目標,我們成功開發出利用半吸光層經過準分子雷射回火後,可以實現低溫閘極絕緣膜的改質效果。而此絕緣膜是由100℃具吸收係數的SiONx與SiO2組成。
    我們利用吸收係數4000cm-1在經過雷射回火能量500mJ/cm2在重複照射20次後,可以得到崩潰電場由原先的4.37MV/cm提升到6.86MV/cm、電阻率由4.27×1013Ω-cm提升到4.27×1013Ω-cm、介電係數從3.14提升到3.49、固定電荷量從2.10×1012減少為9.42×1011、折射率從1.459增加到1.468、蝕刻速率從2.68nm/s減少為2.15nm/s。再經過簡易型薄膜電晶體的製作後,驗證出具有基本的電晶體特性。


    In order to accomplish the target of plastic substrate thin film transistor , we successfully develop the utilization of semi-photo sensitive layer after pass through excimer laser annealing, it can realize the Modification effect of the low temperature gate oxide. Therefore, oxide layer is consists of SiO2 and SiONx which contain absorption coefficient. And the highest temperature of this layer is 100℃.
    We can increase breakdown electric field from 4.37MV/cm to 6.86MV/cm, resistivity from 4.27×1013Ω-cm to 4.27×1013Ω-cm, dielectric coefficient from 3.14 to 3.49, Qss(fixed oxide charge) from 2.10×1012 to 9.42×1011, index of refraction from 1.459 to 1.468, etching rate from 2.68nm/s to 2.15nm/s through exploiting 20 times 500mJ/cm2 excimer laser annealing in the same spot of the oxide layer which has 4000cm-1 absorption coefficient. From fabricating simple thin transistor, we can obtain basic transistor property.

    第一章 序論 1-1 前言……………………………………………… ﹝1﹞ 1-2 研究目標………………………………………… ﹝2﹞ 1-3 MOS結構中氧化層之缺陷…………………….. ﹝3﹞ 1-4 論文流程………………………………………… ﹝9﹞ 第二章 閘極絕緣膜之雷射回火技術 2-1 背景……………………………………………… ﹝10﹞ 2-2 研究目標………………………………………… ﹝11﹞ 2-3 研究方法………………………………………… ﹝11﹞ 2-4 實驗結果與討論………………………………… ﹝23﹞ 2-6 結論……………………………………………… ﹝39﹞ 第三章 簡易型薄膜電晶體元件製作 3-1 前言……………………………………………… ﹝41﹞ 3-2 研究方法………………………………………… ﹝41﹞ 3-3 實驗結果與討論………………………………… ﹝43﹞ 3-4 結論……………………………………………… ﹝45﹞ 第四章 結論………………………………………………… ﹝46﹞ 參考文獻……………………………………………………… ﹝48﹞ 著作…………………………………………………………… ﹝52﹞

    [1] T. H. Kim, J. S. Sim, J. D. Lee, H. C. Shin, & Byung-Gook Park (2004). Charge decay characteristics of silicon oxide nitride oxide silicon structure at elevated temperatures and extraction of the nitride trap density distribution. Appl. Phys. Lett., 85(4), 660-662.
    [2] H. S. Han, S. Y. Seo, J. H. Shin, & Namkyoo Park (2002). Coefficient determination related to optical gain in erbium-doped silicon-rich silicon oxide waveguide amplifier. Appl. Phys. Lett., 81(20), 3720-3722.
    [3] A. Hadjadj, O. Simonetti, T. Maurel, G. Salace, & C. Petit (2002). Si–SiO2 barrier height and its temperature dependence in metal-oxide-semiconductor structures with ultrathin gate oxide. Appl. Phys. Lett., 80(18), 3334-3336.
    [4] A. Cao, P. M. Ajayan, G. Ramanath, R. Baskaran, & K. Turner (2004). Silicon oxide thickness-dependent growth of carbon nanotubes. Appl. Phys. Lett., 84(1), 109-111.
    [5] W. Y. Loh, B. J. Cho, & M. F. Li (2002). Correlation between interface traps and gate oxide leakage current in the direct tunneling regime. Appl. Phys. Lett., 81(2), 379-381.
    [6] A. Cacciato, A. Scarpa, S. Evseev, & M. Diekema (2002). Effect of charge transport through silicon nitride on thin gate oxide reliability. Appl. Phys. Lett., 81(23), 4464-4466.
    [7] Asuha, T. Yuasa, O. Maida, & H. Kobayashi (2002). Effects of postmetallization annealing on ultrathin SiO2 layer properties. Appl. Phys. Lett., 80(22), 4175-4177.
    [8] M. M. Frank, Y. J. Chabal, M. L. Green, A. Delabie, B. Brijs, G. D. Wilk, M. Y. Ho, E. B. O. da Rosa, I. J. R. Baumvol, & F. C. Stedile (2003). Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon. Appl. Phys. Lett., 83(4), 740-742.
    [9] H. Sato, A. Izumi, & H. Matsumura (2000). Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures. Appl. Phys. Lett., 77(17), 2752-2754.
    [10] H. Ohta, A. Nagashima, M. Hori, & T. Goto (2001). Effect of ions and radicals on formation of silicon nitride gate dielectric films using plasma chemical vapor deposition. J. Appl. Phys., 89(9), 5083-5087.
    [11] D. P. Gosain, T. Noguchi , & S. Usui (2000). High Mobility Thin Film Transistors Fabricated on a Plastic Substrate at a Processing Temperature of 110°C. Jpn. J. Appl. Phys., 39(3A/B), L179-L181.
    [12] L. Zhao, N. H. Luu, D. Wang, Y. Sugimoto, K. I. Ikeda, H. Nakashima, & H. Nakashima (2004). Low-Temperature Growth of Thin Silicon Nitride Film by Electron Cyclotron Resonance Plasma Irradiation. Jpn. J. Appl. Phys., 43(1A/B), L47-L49.
    [13] R. Sharma, J. L. Fretwell, T. Ngai, & S. Banerjee (1999). Remote Plasma-Assisted Deposition of Gate Quality Oxides Without the Use of a Preoxidation Step. J. Electrochem. Soc., 146(6), 2229-2234.
    [14] H. Ohta, M. Hori, & T. Goto (2001). Ultrathin fluorinated silicon nitride gate dielectric films formed by remote plasma enhanced chemical vapor deposition employing NH3 and SiF4. J. Appl. Phys., 90(4), 1955-1961.
    [15] H. Sato, H. Fujikake, H. Kikuchi, Y. Iino, M. Kawakita, & Y. Tsuchiya (2001). Fluorinated Polymer Alignment Layers Formed at Low Temperature for Plastic-Substrate-Based Liquid Crystal Devices. Jpn. J. Appl. Phys., 40(1A/B), L53-L55.
    [16] Y. Lee, H. Li, & S. J. Fonash (2003). High-Performance Poly-Si TFTs on Plastic Substrates Using a Nano-Structured Separation Layer Approach. Electron Device Letters, IEEE, 24(1), 19-21
    [17] B. D. Choi, W. S. Kim, M. S. So, J. B. Koo, R. Kakkad, Y. G. Mo, & S. C. Kim (2005). Stability Enhancement of Polysilicon Thin-Film Transistors Using Stacked Plasma-Enhanced Chemical Vapor Deposited SiO2/SiNx Gate Dielect. Jpn. J. Appl. Phys., 44(9A), 6417-6420.
    [18] W. C. Yeh, & M. Matsumura (2002). Proposed Sample Structure for Marked Enlargement of Excimer-Laser-Induced Lateral Grain Growth in Si Thin Films. Jpn. J. Appl. Phys., 41(4A), 1909-1914.
    [19] W. C. Yeh, Y. C. Liu, G. Z. Chen, J. X. Lin, C. L. Chen,Y. C. Chen, & P. H. Tsai (2004). Development of photosensitive SiOxNy film for 308nm wavelength by SiH4 based PECVD and its application to heat retaining enhanced crystallization method. AMLCD’04.
    [20] W. C. Yeh, G. Z. Chen, Y. C. Liu, J. X. Lin, C. L. Chen, Y. C. Chen, & P. H. Tsai (2004). Selective removal of SiOxNy semitransparent film over SiO2 film in the heat retaining enhanced crystallization method for fabrication of TFTs. IDW’04, 511-512.

    QR CODE