簡易檢索 / 詳目顯示

研究生: 周煜
Yu - Chou
論文名稱: 一個有效提升空間使用率的高性能三維度NAND型快閃記憶體設計
An Efficient Space Utilization Design for High-Performance 3D NAND Flash Memory
指導教授: 吳晉賢
Chin-Hsien Wu
口試委員: 阮聖彰
Shanq-Jang Ruan
陳維美
Wei-Mei Chen
呂政修
Jenq-Shiou Leu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2016
畢業學年度: 104
語文別: 中文
論文頁數: 60
中文關鍵詞: 固態硬碟三維度快閃記憶體快閃記憶體多通道設計寫入緩存
外文關鍵詞: Solid State Disk, 3D Flash Memory, Flash Memory, Multi-Channel Design, Write Buffer
相關次數: 點閱:235下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於NAND Flash Memory具有體積小、低耗電、非揮發性、快速儲存、高抗震等優點,成為目前廣泛使用的儲存裝置。為了降低成本與提高儲存容量,2D NAND Flash Memory從SLC逐漸發展至單位細胞密度較高的MLC及TLC,科技日新月異,製程技術逐漸往更低的奈米發展,但Flash Memory已經難以再降低小於20 nm的製程技術,這是因為每個快閃記憶體細胞的浮閘所保持的電子數量太低,導致無法有效的區分出電壓層級。因此,3D Flash Memory被提出成為目前較新的趨勢設計,3D Flash Memory在原本的2D平面透過多層的堆疊,細胞密度更高,使得成本降低及並增大儲存容量。但由於硬體架構的關係,將會導致嚴重的鄰近頁面寫入干擾問題,使得資料發生難以校正的錯誤,且較高的細胞密度可能造成大區塊問題,導致資料回收時頁面複製過多而造成效能下降。為了解決寫入干擾及大區塊問題,本文提出有效提升空間使用率的高性能三維度NAND型快閃記憶體設計,透過重新轉換位址至不同通道的不相鄰頁面,達到在高速存取下仍能避免區塊內的寫入干擾,並有效提升3D Flash Memory的空間使用率。


    NAND flash memory becomes the most widely used storage device because of its numerous unique characteristics: non-volatile, low power consumption, small size, shock resistance, and good I/O performance. To cost down and increase capacity, SLC flash memory is replaced by MLC and TLC flash memory gradually. With the development of science and technology, it is so hard for flash memory to scale down below 20nm technology because few of electrons can be kept in the floating gate of each cell, and it is also difficult to determine the level of voltage values in each cell efficiently and correctly. As a result, 3D flash memory is proposed as the newest designs. 3D flash memory is stacked by multiple layers composed of 2D flash memory, so it has larger capacity and lower cost than 2D flash memory. However, 3D flash memory suffers from a serious program disturbance problem because of its hardware architecture. When programming one page, it will lead to unrecoverable error that happens at nearby valid pages. In addition to program disturbance, 3D flash memory also has a big size physical block. When garbage collection is triggered to recycle a physical block, many valid pages in the physical block could be copied out and cause large overhead. In order to alleviate the influence of these problems, this thesis proposes an efficient space utilization design for high-performance 3D NAND flash memory to achieve efficient space utilization and high performance accesses.

    第一章 緒論 1.1. 前言 1.2. 論文架構 第二章 環境背景與研究動機 2.1. 3D Flash Memory 2.2. Multi-Channel與Multi-Plane 2.3. Write Buffer 2.4. 研究動機 第三章 有效提升空間使用率的高性能三維度快閃記憶體設計 3.1. 系統架構(System Overview) 3.2. 對高性能議題的彈性空間管理 3.2.1. 空間結構 (Space Structures) 3.2.1.1. Partial Block 3.2.1.2. Secure Partial Block 3.2.2. 空間分配 (Space Allocation):固定與彈性空間管理 3.2.3. 空間映射 (Space Mapping) 3.2.3.1. 有效提升空間使用率的寫入緩存設計 3.2.3.2. 位址映射方法 3.3. 有效提升空間使用率的垃圾回收機制設計 3.3.1. Multi-Level Selection of Victim Block Scheme 3.3.1.1. 犧牲者區塊使用空間大於1/2區塊大小(高層級) 3.3.1.2. 犧牲者區塊使用空間等於1/2區塊大小(高層級) 3.3.1.3. 犧牲者區塊使用空間小於1/2區塊大小(高層級) 第四章 實驗與效能分析 4.1. 實驗環境 4.2. 實驗結果分析 4.2.1. Space utilization 4.2.2. Write Buffer Function 4.2.3. Erase Count 4.2.4. Secure Free Partial Block 第五章 結論 第六章 參考文獻

    [1] J. Kim, J. M. Kim, S. H. Noh, S. L. Min and Y. Cho, “A Space-Efficient Flash Translation Layer For Compactflash Systems,” IEEE Transactions on Consumer Electronics, vol. 48, 2002.
    [2] S. W. Lee, D. J. Park, T. S. Chung, D. H. Lee, S. Park, and H. J. Song, “A Log Buffer-Based Flash Translation Layer Using Fully-Associative Sector Translation,” ACM Transactions on Embedded Computing Systems (TECS), vol. 6, pp. 18–es, July 2007.
    [3] A. Gupta, Y. Kim, and B. Urgaonkar, “DFTL: A Flash Translation Layer Employing Demand-based Selective Caching of Page-level Address Mappings,” Proceedings of the 14th international conference on Architectural support for programming languages and operating systems(ASPLOS), pp. 229–240, March 2009.
    [4] M. Wu, and W. Zwaenepoel, “eNVy: a non-volatile, main memory storage system,” Proceeding ASPLOS VI Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, 1994.
    [5] M. Rosenblum and J. K. Ousterhout, “The Design and Implementation of A Log structured,” ACM TOCS, vol. 10, pp.26-52, 1992.
    [6] M. L. Chiang and R. C. Chang, “Cleaning Policies in Mobile Computers Using Flash Memory,” Journal of System Software, vol.48, pp.213-231, 1999.
    [7] M. C. Yang, Y. M. Chang, C. W. Tsao, P. C. Huang, Y. H. Chang, T. W. Kuo, “Garbage Collection and Wear Leveling for Flash Memory: Past and Future,” Smart Computing (SMARTCOMP), 2014 International Conference, 2014.
    [8] H. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata, M. Kito, Y. Fukuzumi, M. Sato, Y. Nagata, Y. Matsuoka, Y. Iwata, H. Aochi, and A. Nitayama, “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” IEEE Symposium on VLSI Technology, pp. 14-15, June 2007.
    [9] R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, Y. Nagata, L. Zhang, Y. Iwata, R. Kirisawa, H. Aochi, and A. Nitayama, “Pipe-Shaped Bics Flash Memory with 16 Stacked Layers and Multi-Level-Cell Operation for Ultra High Density Storage Devices,” IEEE Symposium on VLSI Technology, pp. 16-18, June 2009.
    [10] J. Jang, H.-S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J.-H. Jeong, B.-K. Son, D. W. Kim, Kihyun, J.-J. Shim, J. S. Lim, K.-H. Kim, S. Y. Yi, J.-Y. Lim, D. Chung, H.-C. Moon, S. Hwang, J.-W. Lee, Y.-H. Son, U.-I. Chung, and W.-S. Lee, “Vertical Cell Array Using Tcat(Terabit Cell Array Transistor) Technology for Ultra High Density Nand Flash Memory,” IEEE Symposium on VLSI Technology, pp. 192-193, June 2009.
    [11] J. Kim, A. J. Hong, S. M. Kim, E. B. Song, J. H. Park, J. Han, S. Choi, D. Jang, J.-T. Moon, and K. L. Wang, “Novel Vertical-Stacked-Array-Transistor (VSAT) for Ultra-High-Density and Cost-Effective NAND Flash Memory Devices And SSD (Solid State Drive),” IEEE Symposium on VLSI Technology, pp. 186-187, June 2009.
    [12] S. Whang, K. Lee, D. Shin, B. Kim, M. Kim, J. Bin, J. Han, S. Kim, B. Lee, Y. Jung, S. Cho, C. Shin, H. Yoo, S. Choi, K. Hong, S. Aritome, S. Park, and S. Hong, “Novel 3-Dimensional Dual Control-Gate with Surrounding Floating-Gate (DC-SF) Nand Flash Cell for 1Tb File Storage Application,” IEEE International Electron Devices Meeting (IEDM), pp. 29.7.1-29.7.4, Dec 2010.
    [13] K.-P. Chang, H.-T. Lue, C.-P. Chen, C.-F. Chen, Y.-R. Chen, Y.-H. Hsiao, C.-C. Hsieh, Y.-H. Shih, T. Yang, K.-C. Chen, C.-H. Hung, and C.-Y. Lu, “Memory Architecture of 3D Vertical Gate (3DVG) NAND Flash Using Plural Island-Gate SSL Decoding Method and Study of It’s Program Inhibit Characteristics,” IEEE International Memory Workshop (IMW), pp.20-23, May 2012.
    [14] C.-P. Chen, H.-T. Lue, K.-P. Chang, Y.-H. Hsiao, C.-C. Hsieh, S.-H. Chen, Y.-H. Shih, K.-Y. Hsieh, T. Yang, K.-C. Chen, and C.-Y. Lu, “A Highly Pitch Scalable 3D Vertical Gate (VG) NAND Flash Decoded by a Novel Self-Aligned Independently Controlled Double Gate (IDG) String Select Transistor (SSL),” IEEE Symposium on VLSI Technology, pp. 12-14, June 2012.
    [15] C.-H. Hung, H.-T. Lue, K.-P. Chang, C.-P. Chen, Y.-H. Hsiao, S.-H. Chen, Y.-H. Shih, K.-Y. Hsieh, M. Yang, J. Lee, S.-Y. Wang, T. Yang, K.-C. Chen, and C.-Y. Lu, “A Highly Scalable Vertical Gate (VG) 3D NAND Flash with Robust Program Disturb Immunity Using a Novel PN Diode Decoding Structure,” IEEE Symposium on VLSI Technology, pp. 14-16, June 2011.
    [16] W. Kim, S. Choi, J. Sung, T. Lee, C. Park, H. Ko, J. Jung, I. Yoo, and Y. Park, “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” IEEE Symposium on VLSI Technology, pp. 16-18, June 2009.
    [17] H.-T. Lue, T.-H. Hsu, Y.-H. Hsiao, S. Hong, M. Wu, F. Hsu, N. Lien, S.-Y. Wang, J.-Y. Hsieh, L.-W. Yang, T. Yang, K.-C. Chen, K.-Y. Hsieh, and C.-Y. Lu, “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” IEEE Symposium on VLSI Technology, pp. 15-17, June 2010.
    [18] Yi Wang, L.A.D. Bathen, Zili Shao, and N.D. Dutt, “3D-FlashMap: A Physical-Location-Aware Block Mapping Strategy for 3D NAND Flash Memory,” IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1307-1312, March 2012.
    [19] Yu-Ming Chang, Yuan-Hao Chang, Tei-Wei Kuo, Hsiang-Pang Li, and Yung-Chun Li, “A Disturb- Alleviation Scheme for 3D Flash Memory,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 421-428, Nov 2013.
    [20] Yi Wang, Zili Shao, H.C.B. Chan, L.A.D. Bathen, and N.D. Dutt, “A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(11s), pp. 2402-2410, Dec 2013.
    [21] Y. Chang, Y. Chang, T. Kuo, and Y. Li, H. Li, “Disturbance Relaxation for 3D Flash Memory,” IEEE Transactions on Computers, PP(99s), pp.1, July 2015.
    [22] Ming-Chang Yang, Yuan-Hao Chang, and Tei-Wei Kuo, “Virtual Flash Chips: Rethinking the Layer Design of Flash Devices to Improve Data Recoverability,” ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 8-12, June 2015.
    [23] C. Park, E. Seo, J.-Y. Shin, S. Maeng, and J. Lee, “Exploiting Internal Parallelism of Flash-Based SSDs,” IEEE Computer Architecture Letters, 9(1s), pp. 9-12 , March 2010.
    [24] Jen-Wei Hsieh, Han-Yi Lin, and Dong-Lin Yang, “Multi-Channel Architecture-Based FTL for Reliable and High-Performance SSD,” IEEE Transactions on Computers, 63(12s), pp. 3079-3091 August 2013.
    [25] A.R. Abdurrab, Tao Xie, and Wei Wang, “DLOOP: A Flash Translation Layer Exploiting Plane-Level Parallelism,” IEEE International Symposium on Parallel & Distributed Processing (IPDPS), pp. 908-918, May 2013.
    [26] Wei Wang, and Tao Xie, “PCFTL: A Plane-Centric Flash Translation Layer Utilizing Copy-Back Operations,” IEEE Transactions on Parallel and Distributed Systems, 26(12s), pp. 3420-3432, Nov 2014.
    [27] J. W. Hsieh, H. Y. Lin, D. L. Yang, “Multi-Channel Architecture-Based FTL for Reliable and High-Performance SSD,” IEEE Transactions on Computers, 63(12s), pp. 3079-3091, Dec 2014.
    [28] Dan He, Fang Wang, Hong Jiang, Dan Feng, Jing Ning Liu, Wei Tong, Zheng Zhang, “Improving Hybrid FTL by Fully Exploiting Internal SSD Parallelism with Virtual Blocks,” ACM Transactions on Architecture and Code Optimization, 11(4s), Jan 2015.
    [29] S. A. Hyojun Kim. Bplru: A buffer management scheme for improving random writes in flash storage,. In Proceedings of 6th USENIX Conference on File and Storage Technologies, 2008.
    [30] H. J. H. S. J. C. Sooyong Kang, Sungmin Park. Performance trade-offs in using nvram write buffer for flash memory-based storage devices. Computers, IEEE Transactions on, 58(6):744–758, June 2009
    [31] Youngjae Lee, Jin-Soo Kim, Sang-won Lee, Seungryoul Maeng, “Zombie Chasing: Efficient Flash Management Considering Dirty Data in the Buffer Cache,” IEEE Transactions on Computers, 64(2s), pp. 569-581, Feb 2015.
    [32] 3D Xpoint, “Intel : Technology Revolutionizes Storage Memory,” [Online] Available: https://newsroom.intel.com/press-kits/introducing-intel-optane-technology-bringing-3d-xpoint-memory-to-storage-and-memory-products/
    [33] Li-Pin Chang, Hsin-Chu, “On Efficient Wear Leveling for Large-Scale Flash-Memory Storage Systems,” SAC '07 Proceedings of the 2007 ACM symposium on Applied computing Pages 1126-1130
    [34] H. J. Kim and S. G. Lee, “An Effective Flash Memory Manager for Reliable Flash Memory Space Management,” IEICE Transactions on Information and System, 2002.
    [35] Ohhoon Kwon, Kern Koh, Jaewoo Lee, Hyokyung Bahn, “FeGC: An efficient garbage collection scheme for flash memory based storage systems,” ACM Journal of Systems and Software archive Volume 84 Issue 9, Pages 1507-1523, September, 2011
    [36] Bongjae Kim, Minkyu Park, Cheol Jeon, Chang Oan Sung, Yookun Cho, Jiman Hong, “AAGC: an efficient associativity-aware garbage collection scheme for hybrid FTLs,” ACM Proceeding SAC '12 Proceedings of the 27th Annual ACM Symposium on Applied Computing, Pages 1785-1790, 2012
    [37] J. Hu, H. Jiang, L. Tian, L. Xu, “PUD-LRU: An Erase-Efficient Write Buffer Management Algorithm for Flash Memory SSD,” IEEE International Symposium on Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS), 2010, pp. 69-78, Aug 2010 .
    [38] L. Shi, J. Li, C. J. Xue, C. Yang, X. Zhou, “ExLRU: A unified write buffer cache management for flash memory,” IEEE 2011 Proceedings of the International Conference on Embedded Software (EMSOFT), pp. 339-348, Oct 2011.
    [39] Dawoon Jung, Jeong-UK Kang, Heeseung Jo, Jin-Soo Kim, Joonwon Lee, “Superblock FTL: A superblock-based flash translation layer with a hybrid address translation scheme,” ACM Transactions on Embedded Computing Systems (TECS), 9(4s), March 2010.
    [40] Z. Qin, Y. Wang, D. Liu, Z. Shao, Y. Guan, “MNFTL: An efficient flash translation layer for MLC NAND flash memory storage systems,” IEEE Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pp. 17-22, June 2011.
    [41] Jesung Kim, Jong Min Kim, S. H. Noh, Sang Lyul Min, Yookun Cho, “A space-efficient flash translation layer for CompactFlash systems,” IEEE Transactions on Consumer Electronics, 48(2s), pp. 366-375, May 2002
    [42] Chanik Park, Wonmoon Cheon, Jeonguk Kang, Kangho Roh, Wonhee Cho, Jin-Soo Kim, “A reconfigurable FTL (flash translation layer) architecture for NAND flash-based applications,” ACM Transactions on Embedded Computing Systems (TECS), 7(4s), July 2008.
    [43] Sungjin Lee, Dongkun Shin, Young-Jin Kim, Jihong Kim, “LAST: locality-aware sector translation for NAND flash memory-based storage systems,” ACM SIGOPS Operating Systems Review, 42(6s), pp. 36-42, October 2008.
    [44] Hyunjin Cho, Dongkun Shin, Young Ik Eom, “KAST: K-Associative Sector Translation for NAND flash memory in real-time systems,” ACM Proceedings of the Conference on Design, Automation and Test in Europe, pp . 507-512, 2009
    [45] S. Tehrani, J. M. Slaughter, E. Chen, M. Durlam, J. Shi, M. DeHerren, “Progress and outlook for MRAM technology,” IEEE Transactions on Magnetics, 35(5s), pp. 2814 - 2819, Sep 1999.
    [46] Mark H. Kryder, Chang Soo Kim, “After Hard Drives—What Comes Next?,” IEEE Transactions on Magnetics, 45(10s), pp. 3406 - 3413, Oct 2009.
    [47] Transcend, “Comparison of SLC, MLC, and TLC,” [Online] Available: http://tw.transcend-info.com/Embedded/Essay-7/

    QR CODE