簡易檢索 / 詳目顯示

研究生: 王儷蓁
Li-Chen Wang
論文名稱: 減輕多重行高細部擺置時佈局效應誘發的時序風險
Mitigating Layout Dependent Effect-induced Timing Risk in Multi-Row-Height Detailed Placement
指導教授: 方劭云
Shao-Yun Fang
口試委員: 劉一宇
Yi-Yu Liu
呂學坤
Shyue-Kung Lu
陳勇志
Yung-Chih Chen
李毅郎
Yih-Lang Li
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2022
畢業學年度: 110
語文別: 英文
論文頁數: 40
中文關鍵詞: 細部擺置多重行高佈局效應
外文關鍵詞: detailed placement, multi-row-height, layout dependent effect
相關次數: 點閱:133下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著先進製程的發展,金屬氧化物半導體場效電晶體的電氣特性變化變得越來越受到佈局效應影響,像是氧化物擴散區距離以及氧化物和氧化物之間的距離效應。由於這些佈局效應,使得兩個擁有特定種類的單元以特定方向相鄰擺置時,會產生時序風險。
    為了減輕主要路徑上的時序風險進而優化目標電路的性能,這篇論文將著重於利用單元翻轉和單元移動的多重行高細部擺置。我們首先設計了一個整數線性規劃公式,該公式可以解出有風險的相鄰單元組合以及單元位移的最佳解。之後,又提出了一個動態規劃的方法,該方法有更好的效率,並且也能夠得出接近最佳解的答案。 此外,整數線性規劃公式只能透過將電路劃分為子問題以得到在可接受的時間範圍內的解,和整數線性規劃不同的是,動態規劃的方法可以一次同時考慮整個電路的主要路徑上會產生風險的相鄰單元組合。實驗結果也證明了這篇論文提出的動態規劃方法具有其效率及有效性。


    With the development of advanced process technology, the electrical characteristic variation of MOSFET transistors has been seriously influenced by layout dependent effect (LDEs), such as the length of oxide diffusion (LOD) and the oxide-to-oxide spacing effect (OSE). Due to these LDEs, two cells of specific cell types may suffer from timing degradation when they are adjacently and closely placed with specific orientations. To mitigate the timing risk of critical paths and thus optimize the performance of a target design, this work focuses on multi-row-height detailed placement with cell flipping and cell shifting. We first develop an integer linear programming (ILP) formulation that can optimally solve the problem in terms of risky cell abutments and cell displacements. After that, a dynamic programming (DP)-based method is proposed that is much more efficient and can also derive near-optimal solutions. In addition, in contrast with ILP that can only derive solutions within acceptable runtimes by partitioning a design into sub-problems, the DP-based method is able to simultaneously consider the risky abutments on the critical paths of the entire circuit at a time. Experimental results shows the efficiency and effectiveness of the proposed DP-based approach.

    Chapter 1. Introduction 1.1 Introduction of Layout Dependent Effect 1.2 Contributions 1.3 Thesis Organization Chapter 2. Preliminaries 2.1 Previous Works 2.2 Timing Risk Penalty 2.3 Problem Formulation Chapter 3. The ILP Formulation Chapter 4. The Dynamic Programming-based Approach 4.1 The Key Idea 4.2 The Cell Ordering 4.3 Solution Finding with Traceback Chapter 5. Experimental Results Chapter 6. Conclusion Bibliography

    [1] Y.-Z. Gu, H.-S. Lu, X.-Q. Zhang, M. Lin, X.-W. Zou, and W. Wong, “A study of LDE on stdcell device performance in advance FinFET technology,” 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Institute of Electrical and Electronics Engineers Inc (2018).
    [2] C. Ndiaye, V. Huard, R. Bertholon, M. Rafik, X. Federspiel, and A. Bravaix, “Layout dependent effect: impact on device performance and reliability in recent CMOS nodes,” In Proceedings of IEEE International Integrated Reliability Workshop (IIRW), 2017.
    [3] X. Dong and L. Zhang, “EA-based LDE-aware fast analog layout retargeting,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 4, pp. 854–863, 2019.
    [4] R. Martins, N. Louren ̧co, R. P ́ovoa, and N. Horta, “On the exploration of design tradeoffs in analog IC placement with layout-dependent effects,” 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2019. Institute of Electrical and Electronics Engineers Inc (2019), pp. 25-28.
    [5] H.-C. Ou, K.-H. Tseng, J.-Y. Liu, I-P. Wu, and Y.-W. Chang, “Layout dependent-effects-aware analytical analog placement,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 8, pp. 1243–1254, 2016.
    [6] T. Liao and L. Zhang, “An LDE-aware gm/ID-based hybrid sizing method for analog integrated circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 8, pp. 1511-1524, 2020.
    [7] Y. Zhang, B. Liu, B. Yang, J. Li, and S. Nakatake, “CMOS op-amp circuit synthesis with geometric programming models for layout-dependent effects,” In Proceedings of Thirteenth International Symposium on Quality Electronic Design (ISQED), pp. 464-469, 2012.
    [8] J. V. Faricelli, “Layout-dependent proximity effects in deep nanoscale CMOS,” In Proceedings of IEEE Custom Integrated Circuits Conference, pp. 1-8, 2010.
    [9] J.-Y. Xue, Y.-D Deng, Z.-C Ye,H.-R Wang, L. Yang, Z.-P Yu, “A framework for layout-dependent STI stress analysis and stress-aware circuit optimization,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, no. 3, pp. 498 - 511, 2012.
    [10] A. B. Kahng, P. Sharma, R. O. Topaloglu, “Exploiting STI stress for performance,” In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2007.
    [11] A. B. Kahng, P. Sharma, R. O. Topaloglu, “Chip optimization through STI-stress-aware placement perturbations and fill insertion,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, no. 7, pp. 1241-1251, 2008.
    [12] A. Chakraborty, S. X. Shi, D. Z. Pan, “Stress aware layout optimization leveraging active area dependent mobility enhancement,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, no. 10, pp. 1533-1545, 2010.
    [13] J. Li, B. Yang, X.-C. Hu, Q. Dong, S. Nakatake, “STI stress aware placement optimization based on geometric programming,” In Proceedings of ACM Great Lakes symposium on VLSI (GLSVLSI), pp. 209–214., 2009.
    [14] J. Li, B. Yang, Q. Dong, S. Nakatake, “Post-placement STI well width adjusting by geometric programming for device mobility enhancement in critical path,” In Proceedings of IEEE International Symposium on Circuits and Systems, pp. 929-932, 2010.
    [15] Y.-W , Tseng, Y.-W. Chang, “Mixed-cell-height placement considering drain-to-drain abutment,” In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-6, 2018.
    [16] J.-L. Chen, Z.-r Zhu, L.-k. Guo,Y.-W. Tseng,Y.-W. Chang, “Mixed-cell-height placement with drain-to-drain abutment and region constraints,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.41 , no. 4, pp. 1103-1115, 2022.
    [17] Y.-B. Lin, B, Yu, X,-Q. Xu,J.-R. Gao,N. Viswanathan, W.-H. Liu, Z. Li, C. J. Alpert, D. Z. Pan, “MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 6, pp. 1237-1250, 2018.
    [18] J.-L. Chen, Z.-R. Zhu; Q.-H. Liu; Y.-M. Zhang; W.-X. Zhu, Y.-W. Chang, “Hamiltonian path based mixed-cell-height legalization for neighbor diffusion effect mitigation,” In Proceedings of ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2020.
    [19] C.-L. Hsu, S. Guo, Y. Lin, X. Xu, M. Li, R. Wang, R. Huang, and D. -Z. Pan, “Layout-dependent aging mitigation for critical path timing,” 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 2018. Institute of Electrical and Electronics Engineers Inc (2018), pp. 153-158.
    [20] C. Han, K. Han, A. B. Kahng, H. Lee, L. Wang, B. Xu, “Optimal multi-row detailed placement for yield and model-hardware correlation improvements in sub-10nm VLSI,” In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 667-674, 2017.
    [21] C. Han, A. B. Kahng, L. Wang, B. Xu, “Enhanced optimal multi-row detailed placement for neighbor diffusion effect mitigation in sub-10 nm VLSI,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 9, pp. 1703 - 1716, 2019.
    [22] IBM ILOG CPLEX Optimizer. http://www-01.ibm.com/software/integration/optimization/cplex-optimizer/
    [23] OpenCores. https://opencores.org/
    [24] ASAP 7nm library. https://asap.asu.edu/
    [25] Synopsys Design Compiler. https://www.synopsys.com/
    [26] Cadence Innovus Implementation System. https://www.cadence.com/zh TW/home/tools/digital-design-and-signoff/soc-
    implementation-and-floorplanning/innovus-implementation-system.html/
    [27] P. Hurat, R. O. Topaloglu, R. Nachman, P. Pathak, J. Condella, S. Madhavan, L. Capodieci, “Timing variability analysis for layout-dependent-effects in 28nm custom and standard cell-based designs,” Proc. SPIE 7974, Design for Manufacturability through Design-Process Integration V, 797412 (4 April 2011); doi: 10.1117/12.882508, https://doi.org/10.1117/12.8825

    無法下載圖示 全文公開日期 2027/09/06 (校內網路)
    全文公開日期 2027/09/06 (校外網路)
    全文公開日期 2027/09/06 (國家圖書館:臺灣博碩士論文系統)
    QR CODE