簡易檢索 / 詳目顯示

研究生: 謝協成
Hsieh-Cheng Hsieh
論文名稱: 使用適應性容錯技術以提升電阻式記憶體之良率及可靠度
Adaptive Fault-Tolerance Techniques for Enhancing Yield and Reliability of RRAMs
指導教授: 呂學坤
Shyue-Kung Lu
口試委員: 呂學坤
Shyue-Kung Lu
王乃堅
Nai-Jian Wang
方劭云
Shao-Yun Fang
李進福
Jin-Fu Li
黃樹林
Shu-Lin Hwang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2020
畢業學年度: 108
語文別: 中文
論文頁數: 150
中文關鍵詞: 電阻式記憶體容錯適應性良率可靠度
外文關鍵詞: Resistive Memory
相關次數: 點閱:271下載:74
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 近年來由於製程技術的進步,行動裝置與物聯網得以迅速發展,對於非揮發性記憶體的需求也隨之上升,現今電阻式記憶體相較快閃式記憶體,擁有更低的功耗、更快的存取操作與更小的晶片面積,且其特殊的物理結構能執行矩陣運算,因此被大量運用在人工智慧技術當中。由於電阻式記憶體的製程技術仍尚未成熟,使得記憶體的耐久度與良率嚴重下降,在過去常用來解決這個問題的是錯誤更正碼技術,然而,電阻式記憶體中的錯誤會隨著使用時間累積,在一定時間後,編碼字中的錯誤將超過錯誤更正碼之保護能力,使得記憶體無法順利被修復。
    為了解決上述的問題,本篇依照電阻式記憶體中的故障行為進行分類,主要區分為存1安全故障與存0安全故障,並使用資料位元反轉技術將故障順利遮蔽,舉例來說,一個固定於邏輯1的故障,在資料儲存時永遠存取邏輯1,即可不讓故障被激發,以節省錯誤更正碼的保護能力。本篇也提出了致命細胞取代技術,致命細胞意指某些記憶體細胞因製程變異而產生阻值變化,隨著寫入次數增長,將引發資料讀取錯誤,因此需藉由備用細胞來取代以達到修復的效果。另外,記憶體中各個編碼字的錯誤數量也有所不同,因此上述的資料位元反轉與致命細胞取代技術,皆配置了漸進式內容定址記憶體,其可針對編碼字中不同數量的硬錯誤與致命細胞進行修復,而本篇也結合了修正餘度的概念,避免系統效能的損耗,當修正餘度抵達臨限值時,才得以啟動本篇技術以有效保護電阻式記憶體。
    本研究實現了適應性容錯技術之超大型積體電路,並對一個64 MB的電阻式記憶體進行本方法的修復率、良率、可靠度與硬體成本分析,依實驗結果可知,本篇技術相比記憶體只配置修正能力為3的BCH碼,修復率最高可提升約86%,而在原始良率為0.85時,有效良率最低也能維持在99.7%,可靠度於310,000小時還能保持在 97% 以上,額外增加的硬體成本幾乎不到0.3%。


    Due to the advance of process technology, mobile devices and Internet on Things (IOT) are being developed rapidly. Therefore, the demand on Non-Volatile Memory (NVM) keeps increasing steadily. Among the emerging NVM, the resistive memory (RRAM) has the features of lower power consumption, faster operation speed, and smaller core area than flash memory. Moreover, its inherent physical structure can perform matrix operations easily. RRAM is also widely used in the area of Artificial Intelligence (AI). Because the fabrication technology of RRAM is still immature, it would cause serious endurance and yield degradation. Error Correction Code (ECC) is commonly used to solve this problem. However, the errors in RRAM will accumulate during the lifetime. Eventually, the number of errors in a codeword will exceed the protection capability of the adopted ECC. The memory then could not be corrected successfully.
    In order to solve the above problems, this thesis reclassify the faults into 1-safe fault and 0-safe fault bases on the fault behaviors of RRAM cells and the Data Bit Inversion (DBI) technique is proposed for fault masking. For example, if a RRAM cell stucks at logic 1, we can always access the cell with logic 1. The fault behavior will not be activated and it can save the protection capability of ECC. This thesis also proposes the Fatal Cell Replacement (FCR) technique. Fatal cell means some memory cells have resistance variation due to the process variation with the increasing of writing cycles. The memory cells will incur data errors when they are being read. They have to be replaced with spare cells. Since the number of errors in each codeword are different, so the proposed DBI and FCR techniques are both equipped with the progressive Content Address Memory (CAM). It can repair different numbers of hard errors or fatal cells in a codeword. This thesis also combines the concept of Correction Slack (CS) to prevent the losses of system performance. When the CS is below the defined threshold, the proposed techniques can be activated to protect RRAM effectively.
    The VLSI architecture of the proposed techniques has been implemented. We also analyze the repair rate, yield, reliability and hardware overhead for a 64MB RRAM. According to experimental results, comparing our proposed technique with the memory just equipped with BCH code which correction capability is 3, the repair rate can be increased up to 86%, while the original yield is 0.85, the effective yield can remain about 99.7% in the worst case. The reliability can achieve above 97% at 310,000 hours. The extra hardware overhead is less than 0.3%.

    致謝 I 摘要 II Abstract III 圖目錄 IX 表目錄 XIV 第一章 簡介 1 1.1 背景及動機 1 1.2 組織架構 7 第二章 次世代非揮發性記憶體簡介 8 2.1 磁阻式記憶體 8 2.2 相變化記憶體 9 2.3 鐵電記憶體 11 第三章 電阻式記憶體的基本工作原理與應用 13 3.1 電阻式記憶體的基本架構 13 3.2 電阻式記憶體的存取原理 14 3.2.1 寫入操作 14 3.2.2 讀取操作 16 3.2.3 單極性與雙極性電阻式記憶體之差異 16 3.3 電阻式記憶體的應用 17 3.3.1 高資料儲存系統 17 3.3.2 記憶體內運算 18 3.3.3 神經網路運算 19 第四章 電阻式記憶體的測試與修復技術 21 4.1 功能性故障模型 21 4.1.1 常見記憶體的通用故障模型 21 4.1.2 電阻式記憶體的特定故障模型 23 4.2 內建自我修復技術 25 4.2.1 測試演算法 26 4.2.2 內建自我測試 28 4.2.3 內建備用分析 30 4.3 錯誤更正碼 33 4.3.1 錯誤偵測與修復定義 34 4.3.2 漢明碼 34 4.3.3 BCH碼 36 第五章 適應性容錯技術 42 5.1 新型態電阻式記憶體故障分類 42 5.2 適應性容錯技術之基本概念 44 5.3 電阻式記憶體測試與硬錯誤修復流程 52 5.3.1 內建自我修復流程 54 5.3.2 內建自我修復流程範例 55 5.4 適應性容錯技術之操作流程與範例 58 5.4.1 寫入操作流程 58 5.4.2 讀取操作流程 59 5.4.3 適應性容錯技術範例 61 5.5 適應性容錯技術之硬體架構 67 5.5.1 整體硬體架構 67 5.5.2 漸進式故障資訊定址記憶體模組 71 5.5.3 漸進式備用細胞定址記憶體模組 73 5.5.4 錯誤修正模組 75 5.5.5 備用細胞記憶體模組 80 5.5.6 控制線選擇器模組 81 5.5.7 電壓選擇器模組 82 5.5.8 控制器狀態圖 83 第六章 實驗結果 87 6.1 瑕疵分布與瑕疵模型之設定 87 6.2 修復率分析 89 6.3 良率分析 93 6.4 硬體成本分析 97 6.5 可靠度分析 108 6.6 超大型積體電路實現 125 第七章 結論與未來展望 127 7.1 結論 127 7.2 未來展望 127 參考文獻 128

    [1] Y. Li and K. N. Quader, “NAND flash memory: challenges and opportunities,” IEEE Computers, vol. 46, no. 8, pp. 23–29, Aug. 2013.
    [2] S. Yu, Resistive Random Access Memory (RRAM): From Devices to Array Architectures, Synthesis Lectures on Emerging Engineering Technologies 2 (5), 1–79, Publisher: Morgan & Claypool, 2016.
    [3] T. Karnik, P. Hazucha, and J. Patel, “Characterization of soft errors caused by single event upsets in CMOS processes,” IEEE Trans. Depend. Secure Computing, vol. 1, no. 2, pp. 128–143, Apr. June 2004.
    [4] W. Kuo, W. T. K. Chien and T. Kim, “Reliability, yield, and stress burn-in,” Kluwer Academic Publishers, 1998.
    [5] C. Y. Chen et al., “RRAM defect modeling and failure analysis based on march test and a novel squeeze-search scheme,” IEEE Trans. Comput., vol. 64, no. 1, pp. 180–190, Jan. 2015.
    [6] K. Sachhidh, J. Rajendran, R. Karri, and O. Sinanoglu, “Sneak path testing of metal-oxide memristor-based memories,” in Proc. 26th Int’l Conf. VLSI Design, pp. 386–391, Jan. 2013.
    [7] H. C. Shih, C. Y. Chen, C. W. Wu, C. H. Lin, and S. S. Sheu, “Training-based forming process for RRAM yield improvement,” in Proc. IEEE VLSI Test Symp., pp. 146–151, May 2011.
    [8] V. Schober, S. Paul, and O. Picot, “Memory built-in self-repair using redundant words,” in Proc. Int’l Test Conf. (ITC), pp. 995–1001, Oct. 2001.
    [9] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, “A built-in self-repair analyzer (CRESTA) for embedded DRAMs,” in Proc. Int’l Test Conf. (ITC), pp. 567–574, Oct. 2000.
    [10] C. T. Huang, C. F. Wu, J. F. Li, and C. W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. Rel., vol. 52, no. 4, pp. 386–399, Dec. 2003.
    [11] S. K. Lu, C. L. Yang, Y. C. Hsiao, and C. W. Wu, “Efficient BISR techniques for embedded memories considering cluster faults,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 2, pp. 184–193, Feb. 2010.
    [12] G. Forney, “On decoding BCH codes,” IEEE Trans. Information Theory, vol. 11, no. 4, pp. 549–557, Oct. 1965.
    [13] S. Tanakamaru, Y. Yanagihara, and K. Takeuchi, “Error prediction LDPC and error recovery schemes for highly reliable solid-state drives (SSDs),” IEEE J. Solid-State Circuits, vol. 48, no. 11, pp. 2920–2933, Nov. 2013.
    [14] B. Chen et al., “Physical mechanisms of endurance degradation in TMO-RRAM,” in Proc. IEEE Int’l Electron Device Meeting, pp. 12.3.1–12.3.4, Dec. 2011.
    [15] P. Pouyan, E. Amat, and A. Rubio, “Memristive crossbar memory lifetime evaluation and reconfiguration strategies,” IEEE Trans. Emerging Topics in Computing, vol. 6, no. 2, pp. 207–218, June. 2016.
    [16] S. Balatti, S. Ambrogio, Z. Wang, S. Sills, A. Calderoni, N. Ramaswamy, and D. Ielmini, “Voltage-controlled cycling endurance of HfOx-Based resistive-switching memory,” IEEE Trans. Electronic Devices, vol. 62, no. 10, pp. 3365–3372, Oct. 2015.
    [17] S. Kannan, N. Karimi, R. Karri, and O. Sinanoglu, “Detection, diagnosis, and repair of faults in memristor-based memories,” in Proc. 32nd IEEE VLSI Test Symp. (VTS), pp. 1–6, Apr. 2014.
    [18] S. K. Lu, and W. C. Tsai, “Adaptive fault tolerant techniques for improving reliability of flash memory,” in Proc. IEEE Work. on RTL and High Level Test. (WRTLT), pp. 1–4, June 2018.
    [19] S. K. Lu, S. H. Yu, Masaki H. and Hiroyuki Y., “Fault-Aware page address remapping techniques for enhancing yield and reliability of flash memories,” in Proc. IEEE Asian Test Symp. (ATS), pp. 249–254, Nov. 2017.
    [20] T. H. Chen, Y. Y. Hsiao, Y. Y. Hsiao, and C. W. Wu, “An adaptive-rate error correction scheme for NAND flash memory,” in Proc. 27th IEEE VLSI Test Symposium (VTS), pp. 53–58, 2009.
    [21] M. N. Baibich et al., “Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices,” The American Physical Society, vol. 61, no. 21, pp. 2472–2475, Nov. 1988.
    [22] T. Miyazaki, N. Tezuka “Giant magnetic tunneling effect in Fe/AlzO3/Fe junction,” Journal of Magnetusm and Magnetic Materials 139, L231–L234, 1995.
    [23] H. Farkhani, A. Peiravi, J. K. Madsen, and F. Moradi “Symmetric write operation for 1T-1MTJ STT-RAM cells using negative bitline technique,” in Proc. 28th IEEE Int’l System-on-Chip Conf. (SOCC), pp. 215–220, Sep. 2015.
    [24] N. Papandreou, H. Pozidis, A. Pantazi, A. Sebastian, M. Breitwischt, C. Lamt, and E. Eleftheriou, “Programming algorithms for multilevel phase-change memory,” in Proc. IEEE Int’l Symp. on Circuits and Systems, pp. 329–332, May 2011.
    [25] A. Petropoulos and T. Antonakopoulos, “Hardware emulation of phase change memory,” in Proc. Panhellenic Conf. on Electronics and Telecommunications (PACET), pp. 1–4, Nov. 2017.
    [26] J. R. Anderson “Ferroelectric Storage Elements for Digital Computers and Switching Systems,” IEEE Electrical Engineering, vol. 71, no. 10, pp. 916–922, Oct. 1952.
    [27] T. Nuns et al., “Evaluation of recent technologies of non-volatile RAM,” in Proc, 9th Eur. Conf. on Radiation and Its Effects on Components and Systems, pp. 1–8, Sep. 2007
    [28] T. W. Hickmott, “Low-frequency negative resistance in thin anodic oxide films,” Journal of Applied Physics, vol. 33, no. 9, pp. 2669–2682, Sep. 1962.
    [29] J. F. Gibbons and W. E. Beadle, “Switching properties of thin NIO films,” Solid-State Electron, vol. 7, no. 11, pp. 785–790, 1964.
    [30] G. Dearnale, A. M. Stoneham, and D. V. Morgan, “Electrical phenomena in amorphous oxide films,” Rep. Progr. Phys., vol. 33, pp. 1129–1191, 1970.
    [31] Y. Watanabe, J. G. Bednorz, A. Bietsch, Ch. Gerber, D. Widmer, A. Beck, and S. J. Wind, “Current-driven insulator-conductor transition and nonvolatile memory in chromium-doped SrTiO3 single crystals,” Appl. Phys. Lett., vol. 78, no. 23, pp. 3738–3740, June 2001.
    [32] A. Beck, J. G. Bednorz, C. Gerber, C. Rossel, and D. Widmer, “Reproducible switching effect in thin oxide films for memory applications,” Appl. Phys. Lett., vol. 77, no. 1, pp. 139–141, July 2000.
    [33] S. Seo, M. J. Lee, D. H. Seo, E. J. Jeoung, D. S. Suh et al., “Reproducible resistance switching in polycrystalline NiO films,” Appl. Phys. Lett., vol. 85, no. 23, pp. 5655–5657, Dec. 2004.
    [34] C. Rohde, B. J. Choi, D. S. Jeong, S. Choi, J. S. Zhao, and C. S. Hwang, “Identification of a determining parameter for resistive switching of TiO2 thin films,” Appl. Phys. Lett., vol. 86, pp. 262907-1–262907-3, June 2005.
    [35] Chua, L.O.: ‘Memristor – the missing circuit element,” IEEE Trans. on Circuit Theory, vol. 18, no. 5, pp. 507–519, Spe. 1971
    [36] H. S. P. Wong, H. Y. Lee, S. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, F. T. Chen, and M. J. Tsai, “Metal-Oxide RRAM,” Proc. of the IEEE, vol. 100, no. 6, pp. 1951–1970, June 2012.
    [37] P. Y. Chen, and S. Yu, “Impact of vertical RRAM device characteristics on 3D cross-point array design,” in Proc, IEEE 6th Int’l Memory Workshop (IWM), pp. 127–130, May 2014.
    [38] Z. R. Wang et al., “Efficient implementation of boolean and full-adder functions with 1T1R RRAMs for beyond von neumann in-memory computing,” IEEE Trans. Electron Devices, vol. 65, no. 10, pp. 4659–4666, Sep. 2018.
    [39] H. Li, Y. Chen, C. Liu, J. P. Strachan, and N. Davila, “Looking ahead for resistive memory technology: A broad perspective on ReRAM technology for future storage and computing,” IEEE Consumer Electronics Magazine, vol. 6, no. 1, pp. 94–103, 2017.
    [40] M. S. Tarkov, “Mapping neural network computations onto memristor crossbar,” in Proc, IEEE Int’l Siberiab Conf. Control and Communications (SIBCON), pp. 1–4, May 2015.
    [41] M. Hu, H. Li et al., “Memristor crossbar-based neuromorphic computing system: A case study,” IEEE Trans. Neural Networks and Learning Systems, vol. 25, no. 10, pp. 1864–1878, 2014.
    [42] P. Gu, B. Li et al., “Technological exploration of rram crossbar array for matrix-vector multiplication,” in Proc, IEEE 20th Asia South Pacific Design Automation Conf. (ASP-DAC), pp. 106–111, Jan. 2015.
    [43] L. Chen et al., “Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar,” in Proc. IEEE DATE, pp. 19–24, Mar. 2017.
    [44] L. Xia, M. Liu, X. Ning, K. Chakrabarty, and Y. Wang, “Fault-tolerant training with on-line fault detection for RRAM-based neural computing systems,” IEEE Trans. on Computer-Aided Desing of Integ. Circuits and Systems, vol. 38, no. 9, pp. 1611–1624, Sep. 2019.
    [45] H. C. Shih, C. Y. Chen, C. W. Wu, C. H. Lin, and S. S. Sheu, “Training based forming process for RRAM yield improvement,” in Proc. 29th VLSI Test Symp. (VTS), pp. 146–151, May 2011.
    [46] L. Xia et al., “Stuck-at fault tolerance in RRAM computing systems,” IEEE Journal on Emerging and Selected Topics in Circuit and Systems, vol. 8, no. 1, pp. 102–115, Mar. 2018.
    [47] J. Y. Hu, K. W. Hou, C. Y. Lo, Y. F. Chou and C. W. Wu, “RRAM-based neuromorphic hardware reliability improvement by self-healing and error correction,” in Proc, IEEE Int’l Test Conf. in Asia (ITC-Asia), pp. 19–24, Sep. 2018.
    [48] R. Dekker, F. Beenker, and L. Thijssen, “Fault modeling and test algorithm development for static random-access memories,” in Proc. IEEE Int’l Test Conf., pp. 343–352, Sep. 1988.
    [49] J. van de Goor and Zai Al-Ars, ”Functional memory faults: a formal notation and a taxonomy,” in Proc. IEEE VLSI Test Symp. (VTS), pp. 281–289, Apr. 2000.
    [50] R. Nair, S. M. Thatte, and J. A. Abraham, “Efficient algorithms for testing SRAMs,” IEEE Trans. Computers, vol. C-27, no. 6, pp. 572–576, June 1978.
    [51] R. Dekker, F. Bennker, and L. Thijssen, “Fault modeling and test algorithm development for static random access memories,” in Proc. Int’l Test Conf., pp. 343–352, Sep. 1988.
    [52] A. J. Van De Goor, “Using march tests to test SRAMs,” IEEE Design & Test of [52] Computers, vol. 10, no. 1, pp. 8–14, Mar. 1993.
    [53] J. C. Yeh, K. L. Cheng, Y. F. Chou, and C. W. Wu, “Flash memory testing and [53] built-in self-diagnosis with march-like test algorithms,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 6, pp. 1101–1113, June 2007.
    [54] K. L. Cheng, J. C. Yeh, C. W. Wang, C. T. Huang, and C. W. Wu, “RAMSES-FT: A fault simulator for flash memory testing and diagnostics,” in Proc. IEEE VLSI Test Symp. (VTS), pp. 281–286, Apr. 2002.
    [55] Brains BIST.
    [56] S. Y. Kuo and W. K. Fuchs, “Efficient spare allocation in reconfigurable arrays,” IEEE Design and Test of Computers, vol. 4, no. 1, pp. 24–31, June 1987.
    [57] C. T. Huang, C. F. Wu, J. F. Li, and C. W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. Reliability, vol. 52, no. 4, pp. 386–399, Dec. 2003.
    [58] S. K. Lu, C. L. Yang, Y. C. Hsiao, and C. W. Wu, “Efficient BISR techniques for embedded memories considering cluster faults,” IEEE Trans. VLSI, vol. 18, no. 2, pp. 184–193, Feb. 2010.
    [59] R. W. Hamming, “Error detecting and error correcting codes,” Bell System Tech. J., vol. XXIX, no. 2, pp. 147–160, Apr. 1950.
    [60] A. Hocquenghem, Codes correcteurs d'erreurs, Chiffres (Paris), vol. 2, pp. 147–156, Sep. 1959
    [61] R. C. Bose and D. K. Ray Chaudhuri, “On a class of error-correcting binary group codes,” Information and Contribution, vol. 3, no. 1, pp. 68–79, Mar. 1960.
    [62] R. Elumalai and A. Ramachandran, “Encoder and decoder for (15, 11, 3) and (63, 39, 4) binary BCH code with multiple error correction,” in Proc. IJAREEIE, vol. 3, no. 3, pp. 7782–7788, Mar. 2014.
    [63] S. Lin and D. J. Costello, Error control coding, 2nd ed., Englewood Cliffs, NJ: Pearson Prentice Hall, 2014.
    [64] X. Youzhi, “Implementation of Berlekamp-Massey algorithm without inversion,” IEE Proc. Communications, Speech and Vision, vol. 138, no. 3, pp. 138–140, June 1991.
    [65] Y. Sugiyama, M. Kasahara, S. Hirasawa, and T. Namekawa, “A method for solving key equation for decoding Goppa codes,” Information and Control, vol. 27, no. 1, pp. 87–99, Jan. 1975.
    [66] Y. Chen and K. Parhi, “Small area parallel Chien search architectures for long BCH codes,” IEEE Trans. VLSI Systems, vol. 12, no. 5, pp. 545–549, May 2004.
    [67] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: A tutorial and survey,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 712–727, Mar. 2006.
    [68] R. F. Huang, J. F. Li, J. C. Yeh, and C. W. Wu, “A simulator for evaluating redundancy analysis algorithms of repairable embedded memories,” in Proc. IEEE Int’l Workshop Mem. Technol., Des. Testing (MTDT), pp. 68–73, July 2002.
    [69] I. Koren and Z. Koren, “Defect tolerant VLSI circuits: techniques and yield analysis,” Proc. of the IEEE, vol. 86, no. 9, pp. 1819–1838, Sep. 1998.
    [70] Y. BABACAN, “Memristor: Three MOS transistors and one capacitor,” in Proc, INES, pp. 1–7, Dec. 2017.
    [71] J. M. Rabaey, A.Chandrakasan, and B. Nikolic “Digital integrated circuits,” Pearson Education Taiwan Ltd.

    QR CODE