簡易檢索 / 詳目顯示

研究生: 薛德義
Te-Yi Hsueh
論文名稱: 光源設計與子光罩圖案選取與協同優化程序開發
Development of Source and Sub-Critical Clip Pattern Selection and Co-Optimization Procedure
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 楊振雄
Cheng-Hsiung Yang
徐勝均
Sendren Sheng-Dong Xu
郭俞麟
Yu-Lin Kuo
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2023
畢業學年度: 111
語文別: 中文
論文頁數: 104
中文關鍵詞: 解析度增強技術運算式微影光源最佳化多群多目標蟻群演算法
外文關鍵詞: Resolution Enhancement Technology, Computational Lithography, Source Optimization, Multi-Colony, Multi-Objective Ant Colony Optimization
相關次數: 點閱:233下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 近年對於晶片的需求大幅增長,而其電路設計圖案依賴於微影技術將其轉印至矽基板上,並且隨著關鍵尺寸(Critical Dimension)的日漸微縮、單位面積下所容納的電路圖案增多以及晶片尺寸增大的趨勢,為了降低發生曝光缺陷的問題,解析度增強技術(Resolution Enhancement Technology, RET)的應用逐漸受到重視。為增加製程良率需要RET的應用逐漸面臨運算時間過長或計算機效能受限的影響。於本論文中藉由多群的多目標蟻群演算法(Multi-Colony Multi-objective Ant Colony System, mcMOACS),針對多片子光罩圖案於解空間(Solution Space)中探尋得前緣最佳解(Pareto Front),並依其生成自由形式光源(Freeform Source),再利用圖案選取(Pattern Selection)代替關鍵剪輯進行優化,降低運算時間。生成之光源、光罩與成像評估將利用KLA_Tencor標準數值微影平台PROLITH計算出空間潛像(Aerial Image, AI)進行驗證。引入實驗室所建置的關鍵點(Critical Shape Point , CS Point)放置進行邊緣放置誤差(Edge Placement Error, EPE)計算提升輪廓誤差計算效率。在二維Contact Hole及Line Space Array的關鍵剪輯光罩圖案測試下,使用圖案選取的mcMOACS與無使用的MOACO比較,運算時間減少了465.7與689.9小時,而EPE總和下降1.17E+04與1.66E+07,並且量測位置的曝光結果符合製程要求。使用被選取子光罩圖案進行光源及光罩優化,在Line Space Array圖案上與無使用的MOACO比較,運算時間減少668.1小時,EPE總和下降8.17E+07。在Contact Hole圖案上,協同優化的邊緣放置誤差較大,因為被選取圖案位於關鍵剪輯的邊界上,以至於OPC受到影響。由此證明成功開發應用於全域光罩及關鍵剪輯的最佳化光源設計,且在條件限制下成功開發協同優化程序。


    In recent years, there has been a significant increase in demand for chips. The circuit design patterns rely on photolithography techniques to transfer them onto silicon substrates. With the continuous shrinking of critical dimensions, the increasing complexity of circuit patterns within a unit area, and the trend of larger chip sizes, the application of Resolution Enhancement Technology (RET) has gradually gained attention to reducing exposure defects. However, using RET to improve process yield is increasingly facing challenges such as long computational time and limited computational resources.
    This research uses a multi-colony Multi-objective Ant Colony System (mcMOACS) to explore the Pareto front of multiple sub-critical clip patterns in the solution space and generate a freeform source according to the obtained solutions. Instead of using critical clips for optimization, pattern selection is applied to reduce computational time. The generated source, masks, and imaging evaluations are verified using the KLA_Tencor standard numerical lithography platform PROLITH to calculate the aerial image (AI) in the spatial domain. The introduction of Critical Shape Points (CS Points) constructed in the laboratory enhances the efficiency of edge placement error (EPE) calculations.
    In the tests of critical clip patterns for 2D contact holes and line space arrays, the mcMOACS with pattern selection is compared with MOACO without pattern selection. The computational time is reduced by 465.7 and 689.9 hours, while the total EPE decreases by 1.17E+04 and 1.66E+07, respectively. The exposure results at the measurement locations meet the process requirements. When using the selected sub-critical clip patterns for mcMOACS source and mask optimization in the line space array pattern, compared with MOACO without pattern selection, the computational time is reduced by 668.1 hours, and the total EPE decreases by 8.17E+07. In the case of contact hole patterns, the EPE is higher in the cooperative optimization process because the selected sub-critical clip patterns are located on the boundary of critical clips, affecting the optical proximity correction (OPC). This demonstrates the successful development of optimized source design for full-chip and critical clips and the successful development of a cooperative optimization process under given constraints.

    致謝 I 摘要 II ABSTRACT III 目錄 IV 圖目錄 VI 表目錄 X 第一章 緒論 1 1.1 前言 1 1.2 文獻探討 2 1.3 研究動機 8 1.4 論文架構 10 第二章 適用全晶片之光源設計 12 2.1 簡介 12 2.2 空間潛像生成與評估 12 2.3 單一關鍵剪輯之MOACO光源最佳化設計 20 2.4 結合多片關鍵剪輯圖案之光源最佳化 29 2.5 適用於全晶片測試之子光罩圖案驗證 34 2.6 小結 41 第三章 子光罩圖案選取程序設計 42 3.1 簡介 42 3.2 特徵圖案處理 42 3.3 分割與資料建立 47 3.4 光源設計之關鍵剪輯圖案驗證 53 3.5 小結 60 第四章 關鍵剪輯光罩與光源協同優化程序 62 4.1 簡介 62 4.2 協同優化程序 62 4.3 優化後光罩拼接 66 4.4 協同優化之關鍵剪輯圖案驗證 70 4.5 曝光圖案接合設計 77 4.6 小結 85 第五章 結論 86 5.1 模擬結果分析比較 86 5.2 本研究之貢獻 87 5.3 本研究之未來方向 87 參考文獻 88

    [1] J. Shalf, "The Future of Computing Beyond Moore’s Law," Philosophical Transactions of the Royal Society A, vol. 378, no. 2166, p. 20190061, 2020.
    [2] R. Chen, Y.-C. Li, J.-M. Cai, and K. Cao, "Atomic Level Deposition to Extend Moore’s Law and Beyond," International Journal of Extreme Manufacturing, vol. 2, no. 2, p. 022002, 2020.
    [3] "International Roadmap for Devices and Systems™ 2022 Edition: Lithography," IEEE.
    [4] D. Baek, B.-H. Jun, and S. H. Lee, "Lithography Technology for Micro-and Nanofabrication," Nanotechnology for Bioapplications, pp. 217-233, 2021.
    [5] L. Huang et al., "Technology of Static Oblique Lithography Used to Improve the Fidelity of Lithography Pattern Based on DMD Projection Lithography," Optics & Laser Technology, vol. 157, p. 108666, 2023.
    [6] E. Sharma et al., "Evolution in Lithography Techniques: Microlithography to Nanolithography," Nanomaterials, vol. 12, no. 16, p. 2754, 2022.
    [7] W. B. Jung, S. Jang, S. Y. Cho, H. J. Jeon, and H. T. Jung, "Recent Progress in Simple and Cost‐Effective Top‐Down Lithography for≈ 10 nm Scale Nanopatterns: From Edge Lithography to Secondary Sputtering Lithography," Advanced Materials, vol. 32, no. 35, p. 1907101, 2020.
    [8] U. Zschieschang, H. Klauk, and J. W. Borchert, "High‐Resolution Lithography for High‐Frequency Organic Thin‐Film Transistors," Advanced Materials Technologies, p. 2201888, 2023.
    [9] Y. M. Lee, "Introduction to optical lithography," Efficient Extreme Ultraviolet Mirror Design: An FDTD Approach, 2021.
    [10] B. W. Smith, "Optical Projection Lithography," Nanolithography: The Art of Fabricating Nanoelectronic and Nanophotonic Devices and Systems, no. 42, pp. 1-41, 2014.
    [11] R. Voelkel, "Micro-Optics: Enabling Technology for Illumination Shaping in Optical Lithography," Optical Microlithography XXVII, vol. 9052, pp. 530-540, 2014.
    [12] L. Wang, S. Li, X. Wang, G. Yan, and C. Yang, "Pixelated Source Optimization for Optical Lithography Via Particle Swarm Optimization," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 15, no. 1, pp. 013506-013506, 2016.
    [13] Y. Sun, Y. Li, T. Li, X. Yan, E. Li, and P. Wei, "Fast Lithographic Source Optimization Method of Certain Contour Sampling-Bayesian Compressive Sensing for High Fidelity Patterning," Optics Express, vol. 27, no. 22, pp. 32733-32745, 2019.
    [14] F. Lie, C.-Y. Huang, C.-S. Wu, K.-T. Chen, and H.-F. Kuo, "Demonstration of ACO-based Freeform Source for ArF Laser Immersion Lithography System," IEEE Access, vol. 5, pp. 6421-6428, 2017.
    [15] W. J. Shi, Z. Q. Yu, J. H. Jiang, Y. Q. Che, and S. K. Li, "Computational Lithography Technology Under Chip Manufacture Context," Laser & Optoelectronics Progress, vol. 59, no. 9, May 2022.
    [16] C. A. Mack, "Field Guide to Optical Lithography," 2006.
    [17] H. Zhang, J. Morrow, and F. Schellenberg, "Optical proximity correction: A detail comparison of techniques and their effectiveness," Microelectronic Engineering, vol. 41, pp. 79-82, 1998.
    [18] N. Choi, K. H. Lai, A. Sundaram, N. Singh, Y. H. Fu, and L. Y. Lee, "Model-Based Optical Proximity Correction for Immersion Lithography-based Flat Optics Platform," High Contrast Metastructures XII, vol. 12432, pp. 19-23, 2023.
    [19] X. Ma et al., "Research and Progress of Computational Lithography," Laser & Optoelectronics Progress, vol. 59, no. 9, May 2022.
    [20] G. D. Chen, Z. N. Zhang, S. K. Li, and X. Z. Wang, "Study on Deep Ultraviolet Computational Lithography Techniques," Laser & Optoelectronics Progress, vol. 59, no. 9, May 2022, Art no. 0922007.
    [21] M. Ding et al., "Gradient-Based Source Mask and Polarization Optimization with The hybrid Hopkins-Abbe Model," Journal of Micro-Nanolithography Mems and Moems, vol. 19, no. 3, Jul 2020.
    [22] X. Ma, Z. Q. Wang, X. B. Chen, Y. Q. Li, and G. R. Arce, "Gradient-Based Source Mask Optimization for Extreme Ultraviolet Lithography," IEEE Transactions on Computational Imaging, vol. 5, no. 1, pp. 120-135, Mar 2019.
    [23] D. L. DeMaris, M. Gabrani, and E. Volkova, "Method of optimization of a manufacturing process of an integrated circuit layout," 2014.
    [24] D. Zhang et al., "Source Mask Optimization Methodology (SMO) and Application to Real Full Chip Optical Proximity Correction," Optical Microlithography XXV, vol. 8326, pp. 637-647, 2012.
    [25] M.-C. Tsai et al., "Full-Chip Source and Mask Optimization," optical Microlithography XXIV, vol. 7973, pp. 71-81, 2011.
    [26] M. Dorigo, M. Birattari, and T. Stutzle, "Ant colony optimization," IEEE Computational Intelligence Magazine, vol. 1, no. 4, pp. 28-39, 2006.
    [27] M. M. Deepika and A. K. Onkar, "Multicriteria Optimization of Variable Thickness Plates Using Adaptive Weighted Sum Method," Sadhana-Academy Proceedings in Engineering Sciences, vol. 46, no. 2, Apr 2021.
    [28] R. T. Marler and J. S. Arora, "The Weighted Sum Method for Multi-Objective Optimization: New Insights," Structural and Multidisciplinary Optimization, vol. 41, no. 6, pp. 853-862, Jun 2010.
    [29] R. T. Marler and J. S. Arora, "Function-Transformation Methods for Multi-Objective Optimization," Engineering Optimization, vol. 37, no. 6, pp. 551-570, Sep 2005.
    [30] Y. Xu, H. Zhang, L. Huang, R. Qu, and Y. Nojima, "A Pareto Front Grid Guided Multi-Objective Evolutionary Algorithm," Applied Soft Computing, vol. 136, Mar 2023.
    [31] A. M. Mora, P. García-Sánchez, J. Merelo, and P. A. Castillo, "Pareto-Based Multi-colony Multi-Objective Ant Colony Optimization Algorithms: An Island Model Proposal," Soft Computing, vol. 17, pp. 1175-1207, 2013.
    [32] Y. Sun et al., "Sampling-Based Imaging Model for Fast Source and Mask Optimization in Immersion Lithography," Applied Optics, vol. 61, no. 2, pp. 523-531, 2022.
    [33] Y. Sun, Y. Li, and L. Liu, "Inverse Lithography Source and Mask Optimization via Bayesian Compressive Sensing," Applied Optics, vol. 61, no. 20, pp. 5838-5843, 2022.
    [34] G. Liao, Y. Sun, P. Wei, M. Yuan, Z. Li, and Y. Li, "Multi-Objective Adaptive Source Optimization for Full Chip," Applied Optics, vol. 60, no. 9, pp. 2530-2536, 2021.
    [35] L. F. Liao et al., "Critical Pattern Selection Based on Diffraction Spectrum Analysis for Full-Chip Source Mask Optimization," Acta Optica Sinica, vol. 40, no. 21, Nov 2020.
    [36] X. H. Yang et al., "Critical Pattern Selection Method for Full-Chip Source and Mask Optimization Based on Depth-First Search," Acta Optica Sinica, vol. 42, no. 10, May 2022.
    [37] L. F. Liao et al., "Critical Pattern Selection Method for Full-Chip Source and Mask Optimization," Optics Express, vol. 28, no. 14, pp. 20748-20763, Jul 2020.
    [38] J.-W. Han et al., "Surround Gate Transistor With Epitaxially Grown Si Pillar and Simulation Study on Soft Error and Rowhammer Tolerance for DRAM," IEEE Transactions on Electron Devices, vol. 68, no. 2, pp. 529-534, 2021.
    [39] I. Rodríguez-Ibarra, R. Woo-García, I. Algredo-Badillo, and F. López-Huerta, "Comparative of Performance and Delays Between Topologies Cells 2T1C, 3T1C, and 4T2C for DRAM," 2022 IEEE International Conference on Engineering Veracruz (ICEV), pp. 1-4, 2022.
    [40] A. Spessot and H. Oh, "1T-1C Dynamic Random Access Memory Status, Challenges, and Prospects," IEEE Transactions on Electron Devices, vol. 67, no. 4, pp. 1382-1393, 2020.
    [41] Z. Wang, A. C. Bovik, H. R. Sheikh, and E. P. Simoncelli, "Image Quality Assessment: From Rrror Visibility to Structural Similarity," IEEE transactions on image processing, vol. 13, no. 4, pp. 600-612, 2004.
    [42] Z. Wang and E. P. Simoncelli, "Translation Insensitive Image Similarity in Complex Wavelet Domain," Proceedings.(ICASSP'05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005., vol. 2, pp. 573-576, 2005.
    [43] Z. Q. Wang, X. Ma, R. Chen, S. G. Zhang, and G. R. Arce, "Fast Pixelated Lithographic Source and Mask Joint Optimization Based on Compressive Sensing," Ieee Transactions on Computational Imaging, vol. 6, pp. 981-992, 2020.
    [44] M. R. Guo, Z. Y. Song, Y. B. Feng, Z. G. Tian, Q. C. Cao, and Y. Y. Wei, "Efficient Source Mask Optimization Method for Reduction of Computational Lithography Cycles and Enhancement of Process-Window Predictability," Journal of Micro-Nanolithography Mems and Moems, vol. 14, no. 4, Oct 2015.
    [45] G. D. Chen, S. K. Li, and X. Z. Wang, "Source Mask Optimization Using the Covariance Matrix Adaptation Evolution Strategy," Optics Express, vol. 28, no. 22, pp. 33371-33389, Oct 2020.
    [46] H. Lim et al., "System for Fabrication of Large-Area Roll Molds by Step-and-Repeat Liquid Transfer Imprint Lithography," Materials, vol. 13, no. 8, Apr 2020.
    [47] A. J. Fleming, O. T. Ghalehbeygi, B. S. Routley, and A. G. Wills, "Scanning Laser Lithography With Constrained Quadratic Exposure Optimization," Ieee Transactions on Control Systems Technology, vol. 27, no. 5, pp. 2221-2228, Sep 2019.
    [48] K. Saha, S. Paul, P. Banerjee, and S. Sur-Kolay, "Stitch-Avoiding Global Routing for Multiple E-Beam Lithography," 2022 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems (VLSID), pp. 138-143, 2022.
    [49] J. Matas, O. Chum, M. Urban, and T. Pajdla, "Robust Wide-Baseline Stereo from Maximally Stable Extremal Regions," Image and vision computing, vol. 22, no. 10, pp. 761-767, 2004.

    無法下載圖示 全文公開日期 2025/08/03 (校內網路)
    全文公開日期 2025/08/03 (校外網路)
    全文公開日期 2025/08/03 (國家圖書館:臺灣博碩士論文系統)
    QR CODE