簡易檢索 / 詳目顯示

研究生: 王毓齊
WANG-YU QI
論文名稱: 低磁耦合之八字型電感注入鎖定除二除頻器與D類振盪器及低功耗HBT倍頻器之設計
Design of 8-Shaped Inductor with Reduced Magnetic Coupling divide-by-2 ILFD, Class-D Oscillator and low power HBT Frequency Doubler
指導教授: 張勝良
Sheng-Lyang Jang
口試委員: 徐世祥(
Shih-Hsiang Hsu
莊敏宏
Miin-Horng Juang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2020
畢業學年度: 108
語文別: 英文
論文頁數: 122
中文關鍵詞: 八字電感除頻器倍頻器D類
外文關鍵詞: 8-Shaped Inductor, ILFD, Frequency Doubler, Class-D
相關次數: 點閱:305下載:18
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

在射頻積體電路(RFIC)中,收發器(Transceiver)的鎖相迴路(Phase Locked Loop)的特性非常重要,而PLL內部包含有相位偵測器(PFD)、充電幫浦(CP)、迴路濾波器(LF)、壓控振盪器(VCO)、除頻器(FD)、倍頻器(FM)。在追求低消耗功率、低相位雜訊(Phase Noice)以及較寬的除頻範圍之下,又以壓控振盪器和注入鎖定除頻器的性能最為重要。在此特別研究鎖相迴路中的注入鎖定除頻器及倍頻器。
在本篇論文中提出了使用八字電感來降低磁耦合的雙頻帶除二注入鎖定除頻器及兩顆使用不同方式設計的倍頻器。首先,第一顆晶片使用台積電(TSMC) 0.18 um 製程來完成具有低磁耦合的雙頻帶除二注入除頻器。這顆除頻器以相反磁通量之八字電感與兩組交叉偶合(cross couple)並聯作為主要核心,並結合單一注入的MOSFET來達到除二的效果。晶片面積為716 x 774.052 mm2。在驅動電壓為0.65V時,其功耗為4.72mW。在0 dbm的注入訊號強度之下,除頻比例為82.9%,從注入4.28 GHz到10.41 GHz範圍共6.13 GHz。
其次,第二顆晶片使用台積電(TSMC) SiGe 0.35 um製程實現注入雙推式放大器倍頻器。這顆倍頻器使用了共用電流(Current-Reused)來降低功耗並且以HBT架構作堆疊。整個晶片面積為0.698x0.803 mm2。驅動電壓為0.2 V時,其功耗為5.34 mW。在輸入功率Pinj = 0 dbm的情形下,可工作範圍為2.98 GHz~3.8 GHz。
最後一顆晶片使用台積電(TSMC) 0.18 um 製程來實現低功耗D類震盪器倍頻器。這顆倍頻器使用一組LC諧振器和一組電容交叉耦合MOSFET對。整個晶片面積為1x1 mm2。在驅動電壓為0.4V時,其功耗為2.16 mW,其倍頻F.O.M為-174.23 dBc/Hz。


In RFIC, the characteristics of Phase Locked Loop of Transceiver are very important. The PLL contains Phase Frequency Detector (PFD), Charge Pump (CP), Loop Filter (LF), Voltage Controlled Oscillator (VCO), and Frequency Divider (FD). In pursuit of low power consumption, low Phase Noice, and a wide range of frequency division, the performance of the Voltage Controlled Oscillator and the Injection-Locked Frequency Divider is important. The Injection-Locked Frequency Divider in the Phase-Locked Loop is studied.
In this thesis, we propose the Dual band divide-by-2 ILFD, using an 8-Shaped inductor to reduce magnetic coupling, and two different kinds of frequency multipliers are designed.
First of all, in the process of the TSMC 0.18 um, the Dual-band divide-by-2 ILFD is proposed by using 8-Shaped inductor to lower magnetic coupling. Using the 8-Shaped inductor with opposite magnetic flux and two sets of cross couples connected in parallel as the main core, the Dual band divide-by-2 ILFD is combined with a single-injected MOSFET to achieve the effect. The size of chip is 716 x 774.052 mm2. The power supply voltage is 0.65V, the power consumption is 4.72mW and the locking range is 6.13 GHz, from 4.28 GHz to 10.41 GHz (82.9%) at injection power of Pinj = 0 dbm.
Secondly, we propose a low power Frequency Doubler (FD) in the process of TSMC 0.35 µm BiCMOS. In the structure of HBT, the FD reduces the power by using Current-Reused. The size of the chip is 0.698 × 0.803 mm2. At the power consumption of 5.34 mW and the input power of Pinj=0 dBm, the operation range is from 2.98 GHz to 3.8 GHz.
Finally, we design a low power class-D Oscillator Frequency Doubler in the process of TSMC 0.18 μm BiCMOS. The size of the chip is 1×1 mm2. At the supply voltage of 0.4 V, the power consumption is 2.16 mW, and the output of doubler F.O.M is -174.23 dBc/Hz. This class-D Oscillator Frequency Doubler is made of a LC resonator and a capacitive cross-coupled MOSFET pairs.

摘要 I Abstract III 誌謝 V Chapter1 Introduction 1 Research background and purpose 1 1.2 Thesis Organization 4 Chapter2 Overview of the Voltage-Controlled Oscillators 6 2.1 Basic Theory of Oscillators 6 2.2 Oscillation Conditions 10 2.2.1 Feedback Oscillators 10 2.3 Classification of Oscillators 13 2.3.1 Ring Oscillator 13 2.3.2 LC-Tank Oscillator 18 2.4 RLC-Tank Research 33 2.4.1 Quality Factor 34 2.4.2 Inductor and Transformer 36 2.4.3 Capacitors and Varactors 47 2.5 Design Concepts of Voltage-Controlled Oscillator 53 2.5.1 Parameters of a Voltage-Controlled Oscillator 55 2.5.2 Phase Noise in Oscillator 56 Chapter3 Principles and Design Concepts of Injection Locking Frequency Divider 66 3.1 Principle of Injection Locked Frequency Divider 67 3.1.1 Locking Range 69 Chapter 4 8-Shaped Inductor with Reduced Magnetic Coupling divide-by-2 ILFD 73 4.1 Introduction 73 4.2 Circuit Design 75 4.3 Measurement Results 82 Chapter 5 low power HBT frequency doubler 84 5.1 Introduction 84 5.2 Circuit Design 86 5.3 Measurement Results 93 Chapter 6 Fully-integrated Capacitive Cross-Coupled Class-D Oscillator Frequency Doubler 108 6.1 Introduction 108 6.2 Circuit Design 110 6.3 Measurement Results 112 Chapter 7 Conclusions 115 References 110

[1] B. Razavi, “RF Microelectronics”, Upper Saddle River, NJ: Prentice Hall, 1998
[2] N. M.Nguyen and R. G. Meyer, “Start-up and frequency stability in high-frequency oscillators,” IEEE J. Solid-State Circuit, vol. 27, no. 5, pp. 810–820, May, 1992.
[3] B. Razavi, “Design of Analog CMOS Integrated Circuit”, McGraw Hill, 2008.
[4] G. Gonzalez , “Microwave Transistor Amplifiers Analysis And Design,” Prentice Hall, 1997
[5] B.Razavi, “Design of Integrated Circuits for Optical Communications”, McGraw Hill.
[6] S.J. Lee, B. Kim, K. Lee, “A Novel High-Speed Ring Oscillator for Multiphase Clock Generation Using Negative Skewed Delay Scheme,” IEEE Journal of Solid-State Circuits, vol. 32, No. 2, February, 1997.
[7] 劉隽宇, 翁若敏, “運用於IEEE 802.11a CMOS 頻率合成器的低雜訊寬調變範圍之壓控振盪器,” July, 2005.
[8] 李少華, 張勝良, “Implementation of New High Frequency CMOS VCOs and Injection-Locked Frequency Dividers,” April, 2007.
[9] Hajimiri, and T. H. Lee, “The design of low noise oscillators,” Kluwer Academic Publishers, 1999
[10] De Muer, M. Borremans, M.Steyaert, and G. Li Puma, “A 2GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization,” IEEE J. Solid-State Circuits, vol. 35, pp. 1034-1038, 2000.
[11] S.Levantino, C.Samori, A.Bonfanti, S.L.J. Gierkink, A.L. Lacaita, and V. Boccuzzi, “frequency dependence on bias current in 5 GHz CMOS VCOs: impact on tuning range and flicker noise upconversion,” IEEE J. Solid-State Circuits, vol. 37, pp. 1003-1001, 2002.
[12] T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits, Cambridge University Press, 1998.
[13] H. M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Transactions on Parts, Hybrids, and Packaging, vol. 10, pp. 101-109, June, 1974.
[14] J. Craninckx and M. S. J. Steyaert, “A 1.8 GHz low-phase-noise CMOS VCO using optimized hollow spiral inductors,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 736–744, May, 1997.
[15] C. P. Yue, C. Ryu, JackLau, T. H. Lee, and S. Wong, “A physical model for planar spiral inductors on silicon,” 1996 International Electron Devices Meeting Technical Digest, pp. 155–158, December, 1996.
[16] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE Journal of Solid-State Circuits, vol. 35, pp. 1368-1382,Septemper, 2000.
[17] E. Frlan, S. Meszaros, M. Cuhaci, and J.Wight, “Computer-aided design of square spiral transformers and inductors,” in Proc. IEEE MTT-S, pp. 661-664, June 1989.
[18] P. Andreani, S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE Journal of Solid-State Circuits, vol. 35, no. 6, pp. 905-910, June, 2000.
[19] J. van der Tang, and D. Kasperkovitz, “Oscillator design efficiency: a new figure of merit for oscillator benchmarking,” IEEE International Symposium on Circuit and System (ISCAS), vol. 2, pp. 533-536, May, 2000.
[20] J.J. Rael, and A. A. Abidi, “Physical processes of phase noise in differential LC oscillators,” IEEE Custom Integrated Circuits Conference, pp. 569–572, 2000.
[21] T. Lee and A. Hajimiri, “Oscillator phase noise: a tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326–336, March, 2000.
[22] D. Leeson, “A simple model of feedback oscillator noise spectrum,” Proceedings of the IEEE, vol. 54, pp. 329–330, February, 1966.
[23] Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, February, 1998.
[24] Hajimiri and T. H. Lee, “Design Issues in CMOS differential LC Oscillators,” IEEE J. Solid-State Circuits, vol. 34, pp. 717–724, May, 1999.
[25] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July, 1996.
[26] J. Tang and D. Kasperkovitz, Oscillator Design Efficiency: A New Figure Of Merit For Oscillator Benchmarking.
[27] S. H. Lee, S. L. Jang, and Y. H. Chung, “A low voltage divide-by-4injection locked frequency divider with quadrature outputs,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 373–375, May, 2007.
[28] K. Yamamoto and M. Fujishima, “70 GHz CMOS harmonic injectionlockeddivider,” in IEEE Int. Solid-State Circuits Conf. Dig, pp. 2472–2481, February, 2006.
[29] Voinigescu, S. P., D. Marchesan, and M. A. Copeland, “Family of Monolithic Inductor-Varactor SiGe-HBT VCOs for 20-GHz to 30-GHz LMDS and fiber-optic receiver applications, ” RFIC Symp. Digest, pp.173-176, June, 2000.
[30] M. Tiebout, A CMOS direct injection-locked oscillator topology as high-frequency low-power frequency divider, IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1170–1174, July, 2004.
[31] K. Yamamoto and M. Fujishima, “55GHz CMOS frequency dividerwith 3.2GHz locking range,” ESSCC, pp. 135-138, August, 2004.
[32] Buonomo, A. Lo Schiavo, M. Asfandyar Awan, M. Summair Asghar, M. Peter Kennedy, “A CMOS injection-locked frequency divider optimized for divide-by-two and divide-by-three operation,” IEEE Trans. Circuits and Systems 60-I(12): 3126-3135, 2013.
[33] Plessas F. “A study of superharmonic injection locking in multiband frequency dividers.” Int. J. Circ. Theor. Appl. 39:397–410, 2011.
[34] Z.-D. Huang, C.-Y. Wu, and B.-C. Huang, “Design of 24-GHz 0.8-V 1.51-mW coupling current-mode injection-locked frequency divider with wide locking range,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1948–1958, August, 2009.
[35] Buonomo and A. Lo Schiavo, “Nonlinear dynamics of divide-by-two injection-locked frequency dividers in locked operation mode,” Int. J. Circ. Theor. Appl., vol. 42, no. 8, pp. 794–807, 2013.
[36] H. Wu and A. Hajimiri, “A 19 GHz 0.5mW 0.35 μm CMOS frequencydivider with shunt-peaking locking-range enhancement,” IEEE Int.Solid-State Circuits Conf., pp. 412-413, February, 2001.
[37] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee and M.-H. Juang, A wide locking range and low voltage CMOS direct injection-locked frequency divider, IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299-301, May, 2006.
[38] S.-L. Jang, Z.-H. Wu, C.-W. Hsue and H.-F. Teng, “Wide-locking range dual-band injection-locked frequency divider,” Microw. Opt. Technol. Lett. vol. 55, 10, pp. 2333–2337, October, 2013.
[39] S.-L. Jang, L.-Y. Huang, C.-W. Hsue, and J.-F. Huang, “Injection-locked frequency divider using injection mixer DC-biased in sub-threshold,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 193-195, March, 2015.
[40] H. R. Rategh and T. H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 813–821, June, 1999.
[41] J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18-μm CMOS technology,” Symp. VLSI Circuits Dig. Tech. Papers, pp. 259–262, June, 2003
[42] S.-L. Jang, S.-S. Huang, J.-F. Lee and M.-H. Juang, “LC-tank Colpitts injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett, pp. 560-562, August, 2008.
[43] S. Lee, S. Jang, and C. Nguyen, “Low-power-consumption wide-locking-range dual-injection-locked 1/2 divider through simultaneous optimization of VCO loaded Q and current,” IEEE Trans. Microw. Theory Tech, vol. 60, no. 10, pp. 3161–3168, October, 2012.
[44] N. Mahalingam, K. Ma, K. S. Yeo, and W. M. Lim, “Coupled dual LC tanks based ILFD with low injection power and compact size,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 105-107, February, 2014.
[45] S.-L. Jang, F.-B. Lin,and J.-F. Huang, “Wide-band divide-by-2 injection-locked frequency divider using MOSFET mixers DC-biased in subthreshold region,” Int. J. Circ Theor App, 12, January, 2015.
[46] S. H. Lee, S. L. Jang, and Y. H. Chung, “A low voltage divide-by-4injection locked frequency divider with quadrature outputs,” IEEE Microw.Wireless Compon. Lett., vol. 17, no. 5, pp. 373–375, May, 2007.
[47] S.-L. Jang,C. C. Liuand C.-W. Chung, “A tail-injected divide-by-4 SiGe HBT injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol.19,no. 4,pp. 236-238, April, 2009.
[48] S.-L. Jang, C.-H. Liu, C.-W. Chang, and M.-H. Juang," A low voltage, low power divide-by-4 LC-tank injection-locked frequency divider, " Int. J. Electronics., vol. 98,no. 4, pp. 521-527, April, 2011.
[49] S.-L. Jang, H.-F. Teng, S.-S. Tzeng and C.-W. Hsue, “Degeneration of over-voltage stress CMOS divide-by-4 injection-locked frequency divider,” ISNE, 2014.
[50] L. Wu and H. C. Luong, “Analysis and design of a 0.6 V 2.2 mW 58.5-to-72.9 GHz divide-by-4 injection-locked frequency divider with harmonic boosting,” IEEE Trans. Circuits and Systems-Part I, Regular Papers, vol. 60, no. 8, pp. 2001-2008, November, 2013.
[51] C.-W. Chang, S.-L. Jang, and M.-H. Juang, “Divide-by-4 injection-locked frequency divider using two linear mixers,” Microw. Opt. Technol. Lett., pp.1359-1362, June, 2012.
[52] C.-W. Chang and S.-L. Jang,” A differential BiCMOS divide-by-4 injection-locked frequency divider,” Microw. Opt. Technol. Lett.. vol. 54, pp.2825-2828, December, 2012.
[53] C.-W. Chang and S.-L. Jang,” LC-Tank divide-by-4 injection-locked frequency divider using the 2nd harmonic feedback,” Int. J. Electronics., vol. 101,no. 2, pp.204-211, 2014.
[54] S.-L. Jang, Y.–T. Chang, C.-W. Hsue, and M.-H. Juang, ” Wide-locking range divide-by-4 injection-locked frequency divider using injection MOSFET DC-biased above threshold region,”, June 2015.
[55] S.-L. Jang, and C.-C. Fu. “Wide locking range divide-by-4 LC-tank injection-locked frequency divider using series-mixers,” Analog Integr Circ Sig Process, vol. 78, issue 2, pp. 523–528, February, 2014.
[56] S.-L. Jang, J.-F. Huang and F.-B. Lin,” Wide-locking range LC-tank divide-by-4 injection-locked frequency divider using transformer feedback,” Int. J. RF Microwave Computer-Aided Design, accepted, 2015.
[57] S.-L. Jang, and C.-Y. Lin, ” A wide-locking range Class-C injection-locked frequency divider,” Electronics Letters .,vol. 50, 23, pp.1710-1712, 2014.
[58] Razavi, "A study of injection locking and pulling in oscillators," IEEE J. Solid-State Circuits, 39(9):1415-1424, September, 2004.
[59] =[37]Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May, 2006.
[60] S.-L. Jang, W.-C. Cheng and C.-W. Hsue, "Wide-locking range divide-by-3 Iniection-locked frequency divider using 6th-order RLC resonator," IEEE Trans. VLSI Syst., vol. 24, no. 7, pp.2598-2602, 2016.
[61] S.–L. Jang, W.-C. Lai, Y.-L. Ciou, J. C. Hou, and J.-W. Syu,” Divide-by-2 injection-locked frequency dividers using the electric-field coupling dual-resonance resonator,” IEEE Trans. Microw. Theory Techn., vol. 68, no. 3, pp. 844-853, March, 2020.
[62] S.–L. Jang, W.-C. Lai, G.-Y. Lin, and C. Y. Huang, ”Injection-locked frequency divider with a resistively distributed resonator for wide locking range performance,” IEEE Trans. Microw. Theory Techn., vol. 67, no. 2, pp. 505-517, February, 2019.
[63] S.-L. Jang, S.-S. Huang, J.-F. Lee and M.-H. Juang,” LC-tank Colpitts injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett., pp.560-562, August, 2008.
[64] S.-L. Jang, M.-H. Suchen, and C.-F. Lee ” Colpitts injection locked frequency divider implemented with a 3D helical transformer ,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp.410-412, June, 2008.
[65] S.-L. Jang, F.-H. Chen, and J.-F. Huang, ” A transformer-coupled LC-tank injection locked frequency divider,” Microw. Opt. Technol. Lett. Vol. 50, no. 3, pp.592-595, March, 2008.
[66] S.-L. Jang, R.-K. Yang, C.-W. Chang, M.-H. Juang, and C.-C. Liu, ” Dual-band transformer-coupled quadrature injection-Locked frequency dividers,” Microw. Opt. Technol. Lett. , pp.1561-1564, July, 2011.
[67] S.-L. Jang, C.-W. Chang, J.-Y. Wun, and M.-H. Juang, ” Quadrature injection-locked frequency dividers using dual-resonance resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 1, pp. 37-39, January, 2011.
[68] C.- W. Chang, S.-L. Jang, C.-W. Huang, and C.-C. Shin, “Dual resonance LC-tank frequency divider implemented with switched varactor bias,” in Proc. IEEE Int. Symp. VLSI Design, Autom. Test, pp. 1–4, April, 2011.
[69] S.-L.Jang, Y.-J. Chen, C.-H. Fang and W. C. Lai, ” Enhanced locking range technique for frequency divider using dual-resonance RLC resonator,” Electron. Lett., vol. 51, 23, pp.1888-1889, 2015.
[70] W. Aouimeur et al., "A G band +2 dBm balanced frequency doubler in 55 nm SiGe BiCMOS," 2017 IEEE 17th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), Phoenix, AZ, pp. 60-63, 2017.
[71] C. Coen, S. Zeinolabedinzadeh, M. Kaynak, B. Tillack, and J. D. Cressler, “A highly-efficient 138–170 GHz SiGe HBT frequency doubler for power-constrained applications,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., San Francisco, CA, USA, pp. 23–26, May, 2016.
[72] K. Kibaroglu, M. Sayginer, and G. M. Rebeiz, “A 28 GHz transceiver chip for 5G beamforming data links in SiGe BiCMOS,” in Proc. IEEE BCTM, Miami, FL, USA, pp. 74–77, October, 2017.
[73] I. Ju, C. D. Y. Cheon, and J. D. Cressler, “A compact highly efficient high-power Ka-band SiGe HBT cascode frequency doubler with fourway input transformer balun,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 6, pp. 2879–2887, June, 2018.
[74] X. Zhang and Y.-H. Yun, "A DC to X-band frequency doubler using GaAs HBT MMIC," 1997 IEEE MTT-S International Microwave Symposium Digest, Denver, CO, USA, pp. 1213-1216 vol.3, 1997.
[75] B.-J. Haung, Z.-M. Tsai, B.-J. Huang, K.-Y. Lin, H. Wang and C.-C. Chiong, "A GaAs-based HBT 31-GHz frequency doubler with an on-chip voltage," 2008 Asia-Pacific Microwave Conference, Macau, pp. 1-4, 2008.
[76] F. Ahmed, M. Furqan, and A. Stelzer, “A 0.3-THz SiGe-based frequency doubler chip with 3-dB 50 GHz bandwidth and 17 dB peak conversion gain,” in Proc. 12th Eur. Microw. Integr. Circuits Conf. (EuMIC), pp. 134–137, October, 2017.
[77] T. K. Johansen, A. Thualfiqar, N. Weimann, W. Heinrich and V. Krozer, "Balanced G-band Gm-boosted frequency doublers in transferred substrate InP HBT technology," 2016 11th European Microwave Integrated Circuits Conference (EuMIC), London, pp. 89-92, 2016.
[78] V. Rieß, C. Carta and F. Ellinger, "A 60 GHz frequency doubler with differential output in 130 nm SiGe BiCMOS technology," 2018 Asia-Pacific Microwave Conference (APMC), Kyoto, pp. 279-281, 2018.
[79] Frounchi, S. G. Rao, J. D. Cressler, "A Ka -band SiGe bootstrapped Gilbert frequency doubler with 26.2% PAE", IEEE Microw. Wireless Compon. Lett., vol. 28, no. 12, pp. 1122-1124, 2018.
[80] V. Valenta, S. Yuan, H. Schumacher, "F-Band frequency octupler in 0.13-µm SiGe:C BiCMOS with 2 mW output power," Proc. of the Int. Microwave Symposium (IMS), Seattle, WA, June, 2013.
[81] J. Sharma, T. Dinc and H. Krishnaswamy, "A 134 GHz +4 dBm frequency doubler at fmax in 130 nm CMOS," IEEE Microw. Wireless Compon. Lett., vol. 24, no. 11, pp. 784-786, November, 2014.
[82] N. Sharma, W. Choi and K. O. Kenneth, "160–310 GHz frequency doubler in 65-nm CMOS with 3-dBm peak output power for rotational spectroscopy," 2016 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), San Francisco, CA, pp. 186-189, 2016.
[83] K. Wu, S. Muralidharan and M. M. Hella, "A 0.4% PAE 194-GHz signal source with 1.5-mW output power in 65-nm bulk CMOS technology," IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp. 407-409, April, 2017.
[84] P. Andreani and A. Fard, “More on the 1/f2 Phase Noise Performance of CMOS Differential-Pair LC-Tank Oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2703–2713, Dec. 2006.
[85] A. Mazzanti and P. Andreani, “Class-C Harmonic CMOS VCOs, with a General Result on Phase Noise,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2716-2729, December, 2008.
[86] K. Okada et al, “A 0.114mW Dual-Conduction Class-C CMOS VCO with 0.2V Power Supply,” Symp. VLSI Circuits Dig. Tech. Papers, pp. 228–229, June, 2009.
[87] L. Fanori and P. Andreani, “A 2.5-to-3.3GHz CMOS Class-D VCO,” ISSCC Dig. Tech. Papers, pp. 346-347, February, 2013.
[88] Yoshiaki Yoshihara, Hideaki Majima and Ryuichi Fujimoto,"A 0.171-mW, 2.4-GHz Class-D VCO with Dynamic Supply Voltage Control "ESSCIRC 2014 - 40th European Solid State Circuits Conference European 2014, pp.339-342, 2014.
[89] L. Fanori and P. Andreani, “Class-D CMOS Oscillators,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3105–3119, December, 2013.
[90] M. Yang, S. Oh, and S. Lee, "Low power fully differential frequency doubler," IEE Electronics Letters, Vol. 39, No. 19, pp. 1388 – 1389, September.
[91] T. Copani, B. Bakkaloglu and S. Kiaei, "A BiCMOS voltage controlled oscillator and frequency doubler for K-band applications," 2008 IEEE Radio Frequency Integrated Circuits Symposium, Atlanta, GA, pp. 537-540, 2008.

QR CODE