簡易檢索 / 詳目顯示

研究生: 李健揚
LI, CHIEN-YANG
論文名稱: 基於卷積神經網路規劃數位微影曝光能量
CNN Based Exposure Dosage Planning for Digital Lithography
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 蘇順豐
Shun-Feng Su
郭永麟
Yong-Lin Kuo
楊振雄
Cheng-Hsiung Yang
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2019
畢業學年度: 107
語文別: 中文
論文頁數: 89
中文關鍵詞: 點陣列式掃描禁忌搜尋法卷積神經網絡數位微影
外文關鍵詞: point array scanning, tabu search, Convolutional Neural Network, digital lithography
相關次數: 點閱:267下載:2
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

以光點陣列掃描 (Point Array Scanning) 成像的數位微影系統,使用數位微反射鏡裝置(Digital Micromirror Device, DMD)作為產生光點陣列的元件,透過控制DMD微反射鏡的開關狀態,掃描疊加光點能量並在平台上定義出曝光圖案。然而直接根據目標圖案來進行曝光的話,會因為光點能量的有限解析度而產生缺陷。因此,使用適當演算法規劃光點陣列的曝光能量對於曝光出目標圖案是重要的。本論文提出以禁忌搜尋法來規劃光點陣列曝光能量的方法,禁忌搜尋演算法藉由鄰域搜尋與禁忌列表確保多樣化的有效探索,並最終搜尋到一個趨近於最佳解的優良解。演算法的流程根據此問題的特性而設計,降低禁忌搜尋法計算的時間複雜度與降低搜尋時解空間的大小,大幅提高禁忌搜尋法搜尋解的效率。但在目標圖案逐漸增大時,禁忌搜尋法的搜索時間會逐漸增加。因此,提出了另一個以機器學習規劃光點陣列曝光能量的方法,使用的模型為卷積神經網絡(Convolutional Neural Network, CNN)。CNN作為影像轉換網路(Image Transformation Network)將輸入的目標圖案轉換為規劃過的掃描位置圖,可高效率的規劃光點陣列的曝光能量。CNN規劃大小為300umx300um的圖案只需花費4秒的時間,便能將誤差數降低至0.5%以下,規劃大小為1.5mmx1.5mm的較大圖案只需一分鐘的時間,便能將誤差數降低至0.5%以下,後者的大小為前者的25倍,但規劃時間只增加15倍,證明此CNN在規劃較大圖案時能維持高效率。雖然CNN優化後的結果不如禁忌搜尋法的優化結果,但可將CNN優化後的結果作為初始解,搭配禁忌搜尋法進行二次優化,在短時間內找到更好的優良解,兼具高品質與高效率。


The digital lithography system imaged by Point Array Scanning uses a Digital Micromirror Device (DMD) as the component to generate spot array, through control the micromirrors of DMD, system exposures pattern on the platform. However, if the exposure is directly performed according to the target pattern, defects may occur due to the finite resolution of beam spot energy. Therefore, using an appropriate algorithm to plan the exposure energy of the spot array is important to accurately expose the target pattern. This thesis proposes a method for exposure energy planning of the spot array by the tabu search. The tabu search algorithm via neighborhood search and tabu list to ensure effective exploration of diversity, eventually finds a good solution. The flow of the algorithm is designed according to the characteristics of the problem, which reduces the time complexity and the size of the solution space during the search. But when the target pattern is gradually increased, the search time will gradually increase. Therefore, another planning method by Convolutional Neural Network (CNN) is proposed. CNN be used as an image transformation network to convert the input target pattern into a planned scanning position map, achieve high efficiency planning. CNN optimizes pattern of the 300um 300um size in 4 seconds, reducing the error to 0.5%; optimizes larger pattern of 1.5mm 1.5mm size in one minute, and error can be reduced to 0.5%, the latter is 25 times the size of the former, but the planning time is only increased by 15 times, which proves that CNN can maintain high efficiency when planning large patterns. Although the results of CNN are not as good as the results of the tabu search, the results of CNN can be used as the initial solution for the tabu search, and do secondary optimization to find a better solution in a short time.

摘要 I ABSTRACT II 圖目錄 V 表目錄 IX 第一章 緒論 1 1.1 研究背景 1 1.2 研究動機與目的 2 1.3 文獻回顧 3 1.4 論文架構 5 第二章 光點陣列掃描式微影技術 6 2.1 簡介 6 2.2 數位微影技術介紹 6 2.2光點陣列斜掃描微影技術 7 2.4 動態疊加光點能量數學模型 12 2.5 小結 17 第三章 禁忌搜尋法規劃光點陣列曝光能量 19 3.1 簡介 19 3.2 禁忌搜尋演算法 19 3.3光點陣列曝光能量規劃 22 3.4禁忌搜尋法規劃光點陣列曝光能量實驗結果 35 3.5小結 53 第四章 CNN規劃光點陣列曝光能量 54 4.1 簡介 54 4.2 CNN架構 54 4.3 CNN損失函數 60 4.4 CNN規劃光點陣列曝光能量實驗結果 64 4.5 小結 80 第五章 結論 81 5.1 結果討論與比較 81 5.2 研究貢獻 82 5.3 未來發展方向 82 參考文獻 84

[1]H. J. Levinson, Principles of lithography, 3rd ed., ser. Press monograph. Bellingham, Wash: SPIE Press, 2010, no. 198.
[2]M. Born, E. Wolf, A. Bhatia, D. Gabor, A. Stokes, A. Taylor, P. Wayman, and W. Wilcock, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light. Cambridge University Press, 2000.
[3]L. Capodieci, “From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade,” in Proc. SPIE, vol. 6154, 2006, p. 615401
[4]B. E. Saleh and S. I. Sayegh, “Reduction of errors of microphotographic reproductions by optimal corrections of original masks,” Optical Engineering, vol. 20, no. 5, pp. 205 781–205 781–, 1981.
[5]Y. Liu and A. Zakhor, “Binary and phase shifting mask design for optical lithography,” IEEE Transactions on Semiconductor Manufacturing, vol. 5, no. 2, pp. 138–152, May 1992.
[6]S. Sherif, B. Saleh, and R. De Leone, “Binary image synthesis using mixed linear integer programming,” IEEE transactions on image processing, vol. 4, no. 9, pp. 1252–1257, 1995.
[7]Y. Oh, J.-C. Lee, and S. Lim, “Resolution enhancement through optical proximity correction and stepper parameter optimization for 0.12-m mask pattern,” in Proc. SPIE Optical Microlithography, vol. 3679, 1999, pp. 607–613.
[8]J. Li, S. Liu, and E. Y. Lam, “Efficient source and mask optimization with augmented lagrangian methods in optical lithography,” Optics express, vol. 21, no. 7, pp. 8076–8090, 2013.
[9]T. Matsunawa, H. Nosato, H. Sakanashi, M. Murakawa, E. Takahashi, T. Terasawa, T. Tanaka, O. Suga, and T. Higuchi, “Adaptive Optical Proximity Correction Using an Optimization Method,” in 7th IEEE International Conference on Computer and Information Technology (CIT 2007), Oct 2007, pp. 853–860.
[10]Y. Granik, “Fast pixel-based mask optimization for inverse lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 5, no. 4, pp. 043 002–043 002–13, 2006.
[11]L. Pang, G. Dai, T. Cecil, T. Dam, Y. Cui, P. Hu, D. Chen, K.- H. Baik, and D. Peng, “Validation of inverse lithography technology (ilt) and its adaptive sraf at advanced technology nodes,” in Optical Microlithography XXI, vol. 6924, San Jose, CA, March 2008, pp. 69 240T, 12 Pages.
[12]A. J. Fleming et al., “A nonlinear programming approach to exposure optimization in scanning laser lithography,” in American Control Conf., Boston, Massachusetts (2016).
[13]A. Poonawala and P. Milanfar, “Mask design for optical microlithography; an inverse imaging problem,” IEEE Transactions on Image Processing, vol. 16, no. 3, pp. 774–788, March 2007.
[14]O. T. Ghalehbeygi, A. G. Wills, B. S. Routley, and A. J. Fleming, “Gradient-based optimization for efficient exposure planning in maskless lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 3, pp. 033507, Sep. 2017.
[15]T. R. Groves, D. Pickard, B. Rafferty, N. Crosland, D. Adam and G. Schubert, Microelectron. Eng. 61–62, (2002) pp. 285-293
[16]Y. A. Shroff, Y. Chen, and W. G. Oldham, "Optical analysis of mirror-based pattern generation," in Microlithography 2003, 2003, pp. 550-559.
[17]Z. Xiong, H. Liu, X. Tan, Z. Lu, C. Li, L. Song, et al., "Diffraction analysis of digital micromirror device in maskless photolithography system," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, pp. 043016-043016, 2014.
[18]Y. A. Shroff, Y. Chen, and W. G. Oldham, "Optical analysis of mirror-based pattern generation," in Microlithography 2003, 2003, pp. 550-559.
[19]D. Dudley, W. M. Duncan, and J. Slaughter, "Emerging digital micromirror device (DMD) applications," SPIE 4985, MOEMS Display and Imaging Systems, 2003, pp. 14-25.
[20]E. J. Hansotte, E. C. Carignan, and W. D. Meisburger, "High speed maskless lithography of printed circuit boards using digital micromirrors," SPIE 7932, Emerging Digital Micromirror Device Based Systems and Applications III, 2011, pp. 793207-793207-14.
[21]K. Zhong, Y. Gao, F. Li, N. Luo, and W. Zhang, "Fabrication of continuous relief micro-optic elements using real-time maskless lithography technique based on DMD," Optics & Laser Technology, vol. 56, pp. 367-371, 2014.
[22]K. F. Chan, Z. Feng, R. Yang, A. Ishikawa, and W. Mei, "High-resolution maskless lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 2, no. 4, pp. 331-339, 2003.
[23]H. Ryoo, D. W. Kang, Y.-T. Song, and J. W. Hahn, "Experimental analysis of pattern line width in digital maskless lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 11, no. 2, pp. 023004-1-023004-6, 2012.
[24]C. Tew, L. Hornbeck, J. Lin, E. Chiu, K. Kornher, J. Conner, et al., "Electronic control of a digital micromirror device for projection displays," in Solid-State Circuits Conference, 1994. Digest of Technical Papers. 41st ISSCC., 1994 IEEE International, 1994, pp. 130-131.
[25]J.-Y. Hur and M.-S. Seo, "Optical Proximity Corrections for Digital Micromirror Device-based MasklessLithography," Journal of the Optical Society of Korea, vol. 16, no. 3, pp. 221-227, 2012.
[26]K. R. Kim , J Yi , S. H Cho , N. H. K , M. W. Cho , B. S. Shin , B. Choi , “SLM-based maskless lithography for TFT-LCD”, Applied Surface Science., vol. 255, pp. 7835-7840, 2009.
[27]H. Ryoo, D. W. Kang, and J.W. Hahn, “Analysis of the line pattern width and exposure efficiency in maskless lithography using a digital micromirror device,” Microelectronic Engineering, vol. 88, pp. 3145–3149, 2011.
[28]J. Hur and M. Seo, “Optical Proximity Corrections for Digital Micromirror Device-based Maskless Lithography,” Journal of the Optical Society of Korea, 16(3), pp. 221-227, 2012
[29]K. F. Chan, Z. Feng, R. Yang, A. Ishikawa, and W. Mei, "High-resolution maskless lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 2, no. 4, pp. 331-339, 2003.
[30]K. F. Chan, Z. Feng, R. Yang, and W. Mei, “High resolution maskless lithography by the integration of microoptics and point array technique,” Proceedings of the SPIE, 4985, pp. 37-43,2003.
[31]T. Wang, M. Quaglio, F. Pirri, Y. C. Cheng, D. Busacker, and F. Cerrina,“Patterning of SU-8 Resist with Digital Micromirror Device (DMD) Maskless Lithography,” Proceedings of the SPIE, vol. 7274, 2009, pp. 72742O.
[32]W. Mei, T. Kanatake, and K. Powell, ‘‘Maskless exposure system,’’ U.S. Patent No. 6,425,669 B1 ~2002!.
[33]W. Mei, ‘‘Point array maskless Lithography,’’ U.S. Patent No.6,473,237 B2 ~2002!.
[34]W. Huanget al., “Two threshold resist models for optical proximity correction,” Proc. SPIE , vol. 5377, 2004, pp. 1536–1543.
[35]F. Glover ‘‘Tabu search: Part I,’’ ORSA Journal on Computing, vol. 1, no. 3 , pp. 190-206, 1989.
[36]F. Glover ‘‘Tabu search: Part II,’’ ORSA Journal on Computing, vol. 2, no. 1 , pp. 4-32, 1990.
[37]S. C. Ho and D. Haugland. ‘‘A tabu search heuristic for the vehicle routing problem with time windows and split deliveries.’’ Computers & Operations Research, vol. 31, no. 12 , pp. 1947–1964, 2004.
[38]Homberger, J. and H. Gehring . A two-phase hybrid meta-heuristic for the vehicle routing problem with time windows. European Journal of Operational Research, vol 162, No. 1 , pp. 220–238, 2005.
[39]A. Mahendran and A. Vedaldi. “Understanding deep image representations by inverting them,” Proc. IEEE Conf. Comput. Vis. Pattern Recognit., Jun. 2015, pp. 5188–5196.
[40]K. Simonyan, A. Vedaldi, A. Zisserman. "Deep inside convolutional networks: Visualising image classification models and saliency maps," 2013, [online] Available: https://arxiv.org/pdf/1312.6034.
[41]J. Yosinski, J. Clune, A. Nguyen, T. Fuchs, and H. Lipson. “Understanding neural networks through deep visualization,” Proc. ICML Deep Learn. Workshop, 2015.
[42]L. A. Gatys, A. S. Ecker, M. Bethge, "Texture synthesis using convolutional neural networks", Proc. Adv. Neural Inf. Process. Syst., pp. 262-270, 2015.
[43]L. A. Gatys, A. S. Ecker, M. Bethge. “A neural algorithm of artistic style,” 2015, [online] Available: https://arxiv.org/abs/1508.06576.
[44]J. Johnson, A. Alahi, and L. Fei-Fei. “Perceptual losses for real-time style transfer and super-resolution,” Proc. Eur. Conf. Comput. Vis., 2016, pp. 694–711.
[45]J. Long, E. Shelhamer, T. Darrell. ‘‘Fully convolutional networks for semantic segmentation,’’ Proc. IEEE Conf. Comput. Vis. Pattern Recognit, Jun. 2015, pp. 3431-3440.
[46]D. Eigen, R. Fergus. ‘‘Predicting depth Surface normals and semantic labels with a common multi-scale convolutional architecture,’’ Proc. IEEE Int. Conf. Comput. Vis., Dec. 2015, pp. 2650-2658.
[47]P. O. Pinheiro, R. Collobert. “Recurrent convolutional neural networks for scene labeling,” Proc. 31st Int. Conf. Int. Conf. Mach. Learn., pp. I-82-I-90, Jun. 2014.
[48]O. T. Ghalehbeygi, A. G. Wills, B. S. Routley, and A. J. Flemin. “Gradient-based optimization for efficient exposure planning in maskless lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 3, pp. 033507, Sep. 2017.
[49]K. He, X. Zhang, S. Ren, J. Sun. “Deep residual learning for image recognition,” Proc. IEEE Conf. Comput. Vis. Pattern Recognit., pp. 770-778, Jun. 2016.
[50]Dmitry Ulyanov, Andrea Vedaldi, and Victor S. Lempitsky. “Instance normalization: The missing ingredient for fast stylization,” 2016, [online] Available: https://arxiv.org/abs/1607.08022.
[51]S. Ioffe, C. Szegedy. “Batch normalization: Accelerating deep network training by reducing internal covariate shift,” ICML, 2015.
[52]L. A. Gatys, A. S. Ecker, and M. Bethge, “Image style transfer using convolutional neural networks,” Proc. IEEE Conf. Comput. Vis. Pattern Recognit., Jun. 2016, pp. 2414–2423.
[53]A. Poonawala and P. Milanfar. “OPC and PSM design using inverse lithography: a non-linear optimization approach,” Proceedings of SPIE , vol. 6514 , pp. 1159–1172, February 2006.

QR CODE