簡易檢索 / 詳目顯示

研究生: 蔡昀娜
Yun-Na Tsai
論文名稱: 具有多行樣板的層狀定向自組裝模板 設計和佈局分解
Template Design and Layout Decomposition for Lamellar DSA with Multi-Row Templates
指導教授: 方劭云
Shao-Yun Fang
口試委員: 劉一宇
Yi-Yu Liu
陳勇志
Yung-Chih Chen
李毅郎
Yih-Lang Li
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2023
畢業學年度: 111
語文別: 英文
論文頁數: 41
中文關鍵詞: 定向自組裝層狀定向自組裝自對準導通孔多重提案 化光刻
外文關鍵詞: Directed self-assembly (DSA), lamellar DSA, self-aligned via (SAV) proces, , multiple patterning lithography (MPL)
相關次數: 點閱:197下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

  • Abstract List of Table List of Figures Chapter 1. Introduction 1.1 Directed Self-Assembly 1.1.1 Cylindrical DSA 1.1.2 Lamellar DSA 1.2 Previous Work 1.3 Contributions 1.4 Thesis Organization Chapter 2. Preliminaries 2.1 Design Constraints 2.2 Problem Formulation Chapter 3. Our Approaches 3.1 Template Enumeration 3.1.1 Single-Row Templates 3.1.2 Double-Row Templates 3.2 Template Conflict Graph Construction 3.3 ILP-Based Method 3.4 Heuristic Method 3.4.1 Initialization 3.4.2 Select a Template for the Via with Highest Priority 3.4.3 Update & Sort Vias with Candidate Templates 3.4.4 Unsolved Via-Aware Template Selection Chapter 4. Experimental Results Chapter 5. Conclusion Bibliography

    [1] Z. Xiao, C.-X. Lin, M. D. F. Wong, and H. Zhang, “Contact layer decomposition
    to enable DSA with multi-patterning technique for standard cell based layout,”
    Proc. ASPDAC, 2016.
    [2] J. Ou, B. Yu, X. Xu, J. Mitra, Y. Lin, and D. Z. Pan, “DSAR: DSA aware
    routing with simultaneous DSA guiding pattern and double patterning assign-
    ment,” Proc. ISPD, 2017.
    [3] H.-J. Yu and Y.-W. Chang, “DSA-friendly detailed routing considering double
    patterning and DSA template assignments,” Proc. DAC, 2018.
    [4] Y. Badr, J. A. Torres, Y. Ma, J. Mitra, and P. Gupta, “Incorporating DSA in
    multipatterning semiconductor manufacturing technologies” in International
    Society for Optics and Photonics (SPIE), vol. 9427, pp. 182 – 189, 2015.
    [5] Y. Badr, A. Torres, and P. Gupta, “Mask assignment and synthesis of DSA-
    MP hybrid lithography for sub-7nm contacts/vias,” in Proceedings of the 52nd
    Annual Design Automation Conference (DAC), pp. 1 – 6, 2015.
    [6] J. Kuang, J. Ye, and E. F. Young, “Simultaneous template optimization and
    mask assignment for DSA with multiple patterning,” in 2016 21st Asia and
    South Pacific Design Automation Conference (ASP-DAC), pp. 75 – 82, 2016.
    [7] J. Kuang, J. Ye, and E. F. Y. Young, “STOMA: Simultaneous template op-
    timization and mask assignment for directed self-assembly lithography with multiple patterning,” IEEE Transactions on Computer-Aided Design of Inte-grated Circuits and Systems (TCAD), vol. 37, no. 6, pp. 1251 – 1264, 2018.
    [8] Y.-T. Lin and I. H.-R. Jiang, “Novel guiding template and mask assignment
    for DSA-MP hybrid lithography using multiple BCP materials,” in Proceedings
    of the 56th Annual Design Automation Conference (DAC), pp. 1 – 6, 2019.
    [9] J. Ou, X. Xu, B. Cline, G. Yeric, and D. Z. Pan, “DTCO for DSA-MP hy-
    brid lithography with double-BCP materials in sub-7nm node,” in 2017 IEEE
    International Conference on Computer Design (ICCD), pp. 403 – 410, 2017.
    [10] K.-H. Wu and S.-Y. Fang, “Simultaneous template assignment and layout de-
    composition using multiple BCP materials in DSA-MP lithography,” in Pro-
    ceedings of the 36th International Conference on Computer-Aided Design (IC-CAD), pp. 239 –– 244, 2017.
    [11] Y. Yang, W.-S. Luk, H. Zhou, D. Z. Pan, D. Zhou, C. Yan, and X. Zeng,
    “An effective layout decomposition method for DSA with multiple pattern-
    ing in contact-hole generation,” ACM Transactions on Design Automation of
    Electronic Systems (TODAES), vol. 23, no. 1, pp. 1 – 27, 2017.
    [12] Y. Ma, J. Kye, G. S. Khaira, L. Hong, J. Word, Y. Sun, J. Mitra, J. A. Torres,
    G. Fenger, and H. J. Levinson, “Design technology co-optimization (DTCO)
    study on self-aligned-via (SAV) with lamella DSA for sub-7 nm technology,” in
    Proceedings of the International Society for Optics and Photonics (SPIE), pp.
    74 – 85, 2017.
    [13] K. Lai, C.-C. Liu, H. Tsai, Y. Xu, C. Chi, A. Raghunathan, P. Dhagat, L. Hu,
    O. Park, S. Jung, W. Cho, J. Morillo, J. Pitera, K. Schmidt, M. Guillorn, M.Brink, D. Sanders, N. Felix, T. Bailey, and M. Colburn, “Design technology co-
    optimization assessment for directed self-assembly-based lithography: design
    for directed self-assembly or directed self-assembly for design?,” Journal of
    Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 1, pp. 1 – 13, 2017.
    [14] A.-J. Shih, S.-Y. Fang, and Y.-Y. Liu, “Guiding template design for lamellar
    DSA with multiple patterning and self-aligned via process,” in Proceedings of
    the 39th International Conference on Computer-Aided Design (ICCAD), pp. 1
    – 6, 2020.
    [15] IBM ILOG CPLEX Optimizer. https://www.ibm.com/tw-zh/analytics/cplex-
    optimizer
    [16] I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis, “ISPD 2015 bench-
    marks with fence regions and routing blockages for detailed routing-driven
    placement,” Proc. ISPD, 2015.

    無法下載圖示 全文公開日期 2025/01/03 (校內網路)
    全文公開日期 2025/01/03 (校外網路)
    全文公開日期 2025/01/03 (國家圖書館:臺灣博碩士論文系統)
    QR CODE