簡易檢索 / 詳目顯示

研究生: 林昆霖
Kun-Lin Lin
論文名稱: 針對定向自組裝技術考慮導引樣板和冗餘導通孔插入之細部繞線
Guiding Template-aware Routing Considering Redundant Via Insertion for Directed Self-Assembly
指導教授: 方劭云
Shao-Yun Fang
口試委員: 呂學坤
Shyue-Kung Lu
王乃堅
Nai-Jian Wang
李毅郎
Yih-Lang Li
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2017
畢業學年度: 105
語文別: 英文
論文頁數: 66
中文關鍵詞: 實體設計定向自組裝嵌段共聚物導引樣板冗餘導通孔插入雙導通孔細部繞線
外文關鍵詞: physical design, directed self-assembly, block copolymer, guiding templates, redundant via insertion, double via, detailed routing
相關次數: 點閱:404下載:4
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 定向自組裝 (directed self-assembly technology) 的技術在10 奈米以
    下的導通孔 (contact/via) 的製造上展現了其優勢,為了讓導通孔有
    足夠的重疊精度以確保其可製造性,我們限制了導引樣板 (guiding
    templates) 的種類,因此也限制了可製造的導通孔格局 (via patterns)
    的種類。此外,由於冗餘導通孔插入 (redundant via insertion)可以改
    善電路的可靠性及良率,其在電路設計流程上也變成了重要的步驟。
    然而,在繞線階段 (routing stage) 如果只單方面考慮冗餘導通孔插
    入或是定向自組裝,會使得導通孔的可製造性或是冗餘導通孔插入率
    降低。在本論文中,我們率先提出第一個,在細部繞線 (detailed
    routing) 時同時考慮到導引樣板及冗餘導通孔插入的可行性。由於不
    同的金屬線格局 (wiring patterns) 會導致不同的導通孔格局及不同
    的冗餘導通孔候選數量,我們開發出一個精密的繞線圖模型來避免產
    生不好的金屬線格局。此外,為了有更佳的導通孔分布及布局的最佳
    化,我們也提出了幹線分配 (trunk assignment method) 及一些拔線
    重繞 (rip-up and rerouting) 的技術。實驗結果顯示在冗餘導通孔插入
    率上,我們的繞線器 (router) 跟目前最新的考慮定向自組裝的細部
    繞線器 (DSA-aware detailed router) 比較有著大幅度的改善。


    The directed self-assembly (DSA) technology has shown its great potential in via/contact
    layer fabrication for sub 10-nm technology nodes. To guarantee sucient overlay
    accuracy of generated vias, only a few guiding templates with simple shapes are
    feasible, and thus manufacturable via patterns are limited. In addition, redundant
    via insertion has become a necessary step in the circuit design ow to improve relia-
    bility and yield. However, routing by only considering redundant vias or DSA may
    either deteriorate the redundant via insertion rate or damage via manufacturability.
    This paper presents the rst work on detailed routing that simultaneously consid-
    ers guiding template feasibility and redundant via insertion. Since dierent wiring
    patterns result in various via patterns and redundant via candidates, we develop a
    sophisticated routing graph model to avoid generating undesired wiring patterns. A
    trunk assignment method and several rip-up and rerouting techniques are also pro-
    posed for better via planning and layout optimization. Experimental results show
    that our router can signicantly improve the redundant via insertion rate compared
    to a state-of-the-art DSA-aware detailed router.

    Abstract (Chinese) iv Abstract vi List of Tables ix List of Figures x Chapter 1. Introduction 1 1.1 Lithography System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.2 Directed Self-Assembly . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 1.2.1 DSA Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1.2.2 Guiding Templates in DSA . . . . . . . . . . . . . . . . . . . . . . 6 1.3 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 1.4 Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 Chapter 2. Preliminaries 13 2.1 Via Status and Via Graph . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.1.1 Two-Segment Connections . . . . . . . . . . . . . . . . . . . . . . . 14 2.1.2 One-Segment and Zero-Segment Connections . . . . . . . . . . . . 15 2.2 Via Graph Update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Chapter 3. Guiding Template And Redundant Via-aware Routing 25 3.1 Trunk Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3.2 Routing Graph Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 3.3 Simplied Routing Graph Model . . . . . . . . . . . . . . . . . . . . . . 34 3.4 Rip up and Rerouting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 3.4.1 Self-Conict Removal . . . . . . . . . . . . . . . . . . . . . . . . . 37 3.4.2 Via Reservation Violation Removal . . . . . . . . . . . . . . . . . . 38 3.4.3 Dead Via Detection and Improvement . . . . . . . . . . . . . . . . 39 3.5 Overall Routing Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 Chapter 4. Experimental Results 43 Chapter 5. Conclusions and Future Work 49 Bibliography 50 ix

    [1] H.-Y. Chen, M.-F. Chiang, and Y.-W. Chang, Novel full-chip gridless routing
    considering double-via insertion, in Proceedings of ACM/IEEE Design Au-
    tomation Conference (DAC), pp. 755760, 2006.
    [2] H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han, Full-chip
    routing considering double-via insertion, in IEEE Transactions on Computer-
    Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 5,
    pp. 844857, 2008.
    [3] H. Dai, J. Sweis, C. Bencher, Y. Chen, J. Shu, X. Xu, C. Ngai, J. Huckabay,
    and M. Weling, Implementing self-aligned double patterning on non-gridded
    design layouts, in Proceedings of SPIE, vol. 7275, pp. 72751E, 2009.
    [4] Y. Du, D. Guo, M. D. F. Wong, H. Yi, H.-S. P. Wong, H. Zhang, and Q. Ma,
    Block copolymer directed self-assembly (DSA) awrae contact layer optimiza-
    tion for 10 nm 1D standard cell library, in Proceedings of IEEE/ACM Inter-
    national Conference on Computer-Aided Design (ICCAD), pp. 186193, 2013.
    [5] Y. Du, Z. Xiao, M. D. F. Wong, H. Yi, and H.-S. P. Wong, DSA-aware
    detailed routing for via layer optimization, in Proceedings of SPIE, vol. 9049,
    pp. 904920, 2014.
    [6] Y.-S. Chang, J. Sweis, J.-C. Lai, C.-C. Lin, and J. Yu, Full area pattern
    decomposition of self-aligned double patterning for 30nm node NAND FLASH
    process, in Proceedings of SPIE, vol. 7637, pp. 76371N, 2010.
    [7] S-Y. Fang, Y.-X. Hong, and Y.-Z. Lu, Simultaneous guiding template opti-
    mization and redundant via insertion for directed self-assembly, in Proceedings
    of IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
    2015.
    [8] D. Herr, The extensibility of optical patterning via directed self-assembly of
    nano-engineered imaging materials, Future Fab International (www.future-
    fab.com), vol. 18, 2005.
    [9] P.-Y. Hsu and Y.-W. Chang, Non-stitch triple patterning-aware routing based
    on conict graph pre-coloring, in Proceedings of ACM/IEEE Asia South Pa-
    cic Design Automation Conference,, pp. 390395 2015.
    [10] T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, Full-chip nanometer routing tech-
    niques, Springer, 2007.
    [11] C.-T. Lin, Y.-H. Lin, G.-C. Su, and Y.-L. Li, Dead via minimization by simul-
    taneous routing and redundant via insertion, in Proceedings of ACM/IEEE
    Asia South Pacic Design Automation Conference,, pp. 657662, 2010.
    [12] Q. Ma, H. Zhang, and M. D. F. Wong, Triple patterning aware routing and
    its comparison with double patterning aware routing in 14nm technology, in
    Proceedings of ACM/IEEE Design Automation Conference (DAC), pp. 591
    596, 2012.
    [13] J. Ou, B. Yu, and D. Z. Pan, Concurrent guiding template assignment and
    redundant via insertion for DSA-MP hybrid lithography, in Proceedings of
    ACM International Symposium on Physical Design (ISPD), pp. 3946, 2016.
    [14] S. Shim, W. Chung, and Y. Shin, Redundant via insertion for multiple-
    patterning directed-self-assembly lithography, in Proceedings of ACM/IEEE
    Design Automation Conference (DAC), pp. 16, 2016.
    [15] Z. Xiao, Y. Du, H. Tian, M. D. F. Wong, H. Yi, and H.-S. P. Wong, DSA tem-
    plate optimization for contact layer in 1D standard cell design, in Proceedings
    of SPIE, vol. 9049, pp. 90492J, 2014.
    [16] Z. Xiao, Y. Du, H. Tian, M. D. F. Wong, H. Yi, H.-S. P. Wong, and H. Zhang,
    Directed self-assembly (DSA) template pattern verication, in Proceedings of
    ACM/IEEE Design Automation Conference (DAC), pp. 16, 2014.
    [17] G. Xu, L.-D. Huang, D. Z. Pan, and M. D. F. Wong, Redundant-via enhanced
    maze routing for yield improvement, in Proceedings of ACM/IEEE Asia South
    Pacic Design Automation Conference, pp. 11481151, 2005
    [18] H. Yi, X.-Y. Bao, J. Zhang, R. Tiberio, J. Conway, L.-E. Chang, S. Mitra,
    and H.-S. P. Wong, Contact hole patterning for random logic circuits using
    block copolymer directed self-assembly, in Proceedings of SPIE, vol. 8323,
    pp. 83230W, 2012.
    [19] H. Yi, X.-Y. Bao, R. Tiberio, and H.-S. P. Wong, Design strategy of small
    topographical guiding templates for sub-15 nm integrated circuits contact hole
    patterns using block copolymer directed self-assembly, in Proceedings of SPIE,
    vol. 8680, pp. 868010, 2013.
    [20] K.-L. Lin and S.-Y. Fang, Guiding template-aware routing considering re-
    dundant via insertion for directed self-assembly, in Proceedings of ACM/IEEE
    Asia South Pacic Design Automation Conference, 2017.
    [21] International Technology Roadmap for Semiconductors (ITRS).
    http://www.itrs.net/

    QR CODE