簡易檢索 / 詳目顯示

研究生: Zendhiastara Arthananda Yudhi
Zendhiastara Arthananda Yudhi
論文名稱: 基於粒子群多目標最佳化演算法實現光罩光源同步最佳化
Source Mask Pattern Co-Optimization Based on Multi-Objective Particle Swarm Optimization Algorithm
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 方劭云
Shao-Yun Fang
郭鴻飛
Hung-Fei Kuo
徐勝均
Sendren Sheng-Dong Xu
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2017
畢業學年度: 105
語文別: 英文
論文頁數: 59
中文關鍵詞: extreme ultraviolet (EUV) lithographymulti-objective particle swarm optimization (MOPSO)process windows (PW)source mask optimization (SMO)
外文關鍵詞: extreme ultraviolet (EUV) lithography, multi-objective particle swarm optimization (MOPSO), process windows (PW), source mask optimization (SMO)
相關次數: 點閱:1620下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文將粒子群多目標最佳化(MOPSO)演算法整合到光源和光罩同步優化(SMO)過程中,以增強極紫外(EUV)光刻成像的性能。本研究開發了同步處理光源和光罩圖案的方法。對於自由形式的光源結構基於像素化的優化過程,可在一般的個人電腦平台上進行。在此應用MOPSO演算法生成優化的自由形式光源。同時應用模型式的光學鄰近校正(OPC)來優化光罩佈局圖案。考慮到EUV光刻系統的特點,SMO搭配MOPSO演算法的開發受到兩個成本函數的條件限制:邊緣放置誤差(EPE)和水平/垂直偏差。先是使用一維的線/空間(L / S)圖案作為基線信息來測試所開發的SMO演算法其帕累托行為。然後再使用半間距為22nm的二維圖案評估所開發的SMO演算法。本研究提出的MOPSO可成功地解出自由形式光源的四個在帕累托前沿中的非支配解。可作為性能指標的製程窗口(PW)條件,包括潛像對比度,曝光寬容度(EL),焦深(DOF)和偏差誤差等。所提出的SMO演算法顯示,在稍微犧牲DOF的情況下, PW條件中的EL將普遍地獲得改善。在一維的 L / S下, 當EL增加5.26%則DOF損失11.34%;在二維的圖案下,EL和DOF分別提高了43.6%和18.11%。


    This thesis integrates multi-objective particle swarm optimization (MOPSO) algorithm into the source and mask co-optimization (SMO) process to enhance the extreme ultraviolet (EUV) lithography imaging performance. A simultaneous source and reticle pattern process method is developed in this research. For the freeform source construction, a pixelated-based optimization process was performed on PC platform. The MOPSO algorithm was applied to generate freeform source. Model-based optical proximity correction (OPC) was applied to correct the mask layout patterns. Considering the characteristics of the EUV lithography system, the developed SMO with the MOPSO algorithm is constrained by two cost functions: the edge placement error (EPE) and horizontal/vertical bias. A one-dimensional line/space (L/S) pattern is used as the baseline information to test the Pareto behavior of the developed SMO algorithm. Then, the 2D pattern with half-pitch 22-nm was assessed using the developed algorithm. The proposed MOPSO algorithm succeeded to construct non-dominated solutions of freeform sources and Pareto front which four of those solutions are presented. The performance indicators include process windows (PW) condition such as the aerial image contrast, exposure latitude (EL), depth of focus (DOF), and bias errors. The proposed algorithm shows that the common PW conditions improved on EL while the DOF is slightly suffering. The EL increased for 5.26% and DOF suffers for 11.34% in 1D L/S and both EL and DOF increased for 43.6% and 18.11%, respectively for the 2D pattern.

    ABSTRACT ii 中文摘要 iii ACKNOWLEDGMENT iv TABLE OF CONTENT v LIST OF FIGURES vii LIST OF TABLES ix CHAPTER 1 INTRODUCTION 1 1.1 Background 1 1.2 Motivation 5 1.3 Research Objectives 6 1.4 Thesis Organization 6 CHAPTER 2 EXTREME ULTRAVIOLET LITHOGRAPHY 8 2.1 Illumination and Projection Optics in EUV Lithography System 9 2.2 3D EUV Mask Effect 11 2.3 Shadowing Effect and Compensation 14 2.4 Summary 18 CHAPTER 3 MULTI-OBJECTIVE PARTICLE SWARM OPTIMIZATION IN EXTREME ULTRAVIOLET LITHOGRAPHY 19 3.1 Particle Swarm Optimization 19 3.2 Multi-Objective PSO for EUV Lithography 22 3.3 Baseline (1D L/S Pattern) Testing Results 25 3.4 Summary 29 CHAPTER 4 SOURCE MASK CO-OPTIMIZATION FOR 2D PATTERN ANALYSIS 30 4.1 Optimization Procedure of Source Mask Co-optimization 30 4.2 Improvement of Contrast, Depth of Focus, and Mask Error Enhancement Factors for 2D Pattern Results Analysis 32 4.3 Summary 40 CHAPTER 5 CONCLUSION AND FUTURE WORKS 41 5.1 Conclusion 41 5.2 Contribution 41 5.3 Future Work 42 REFERENCES 43

    [1] A. Mallik, J. Ryckaert, A. Mercha, et al., “Maintaining
    Moore’s law: enabling cost-friendly dimensional scaling,” in Proc. SPIE 9422, p. 94221N, 2015.
    [2] D. James, “Moore’s law continues into the 1x-nm era,” in 27th Annual SEMI Advanced Semiconductor Manufacturing Conference ASMC, pp. 324–329, 2016.
    [3] A. Pirati, J. van Schoot, K. Troost, et al., “The future of EUV lithography: Enabling Moore’s Law in the next decade,” in Proc. SPIE 10143, p. 101430G, 2017.
    [4] H. Iwai, “End of the scaling theory and Moore’s law,” in 16th International Workshop Junction Technology IWJT, pp. 1–4, 2016.
    [5] S.-S. Kim, R. Chalykh, H. Kim, et al., “Progress in EUV lithography toward manufacturing,” in Proc. SPIE 10143, p. 1014306, 2017.
    [6] A. Pirati, R. Peeters, D. Smith, et al., “EUV lithography performance for manufacturing: status and outlook,” in Proc. SPIE 9776, p. 97760A, 2016.
    [7] J. van Schoot, K. van Ingen Schenau, C. Valentin, et al., “EUV lithography scanner for sub-8nm resolution,” in Proc. SPIE 9422, p. 94221F, 2015.
    [8] H. Aoyama, Y. Mizuno, N. Hirayanagi, et al., “Impact of realistic source shape and flexibility on source mask optimization,” J. Micro/Nanolithography, MEMS, MOEMS, vol. 13, no. 1, p. 11005, 2014.
    [9] J. Kostencka and T. Kozacki, “Off-axis illumination in object-rotation diffraction tomography for enhanced alignment and resolution,” in Proc. SPIE 9525, p. 95250M, 2015.
    [10] S. Hsu, R. Howell, J. Jia, et al., “EUV resolution enhancement techniques (RETs) for k1 0.4 and below,” in Proc. SPIE 9422, p. 94221I, 2015.
    [11] Liu, X., Howell, R., Hsu, S., et al., “EUV source-mask optimization for 7nm node and beyond,” in Proc. SPIE 9048, p.90480Q, 2014.
    [12] H. Matsui, T. Kamikubo, S. Nakahashi, et al., “Electron beam mask writer EBM-9500 for logic 7nm node generation,” in Proc. SPIE 9985, p. 998508, 2016.
    [13] S. Raghunathan, G. McIntyre, G. Fenger, and O. Wood, “Mask 3D effects and compensation for high NA EUV lithography,” in Proc. SPIE 8679, p.867918, 2013.
    [14] E. van Setten, et al., “NXE:3300B platform: imaging applications for Logic and DRAM,” in Proc. SPIE 8886, p. 888604, 2013.
    [15] J. Bekaert, B. Laenens, S. Verhaegen, et al., “Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells,” in Proc. SPIE 7640, p. 764008, 2010.
    [16] M. Mulder, A. Engelen, O. Noordman, et al., “Performance of a programmable illuminator for generation of freeform sources on high NA immersion systems,” in Proc. SPIE 7640, p. 76401P, 2010.
    [17] D. Q. Zhang, G. S. Chua, Y. M. Foong, et al., “Source mask optimization methodology (SMO) & application to real full chip optical proximity correction,” in Proc. SPIE 8326, p. 83261V, 2012.
    [18] J. Zhu, F. Wei, L. Chen, et al., “Source mask optimization study based on latest Nikon immersion scanner,” in Proc. SPIE 9780, p. 97801P, 2016.
    [19] X. Ma, et al., “Gradient-based joint source polarization mask optimization for optical lithography,” J. Micro/Nanolithography, MEMS, MOEMS, vol. 14, no. 2, p. 23504, 2015.
    [20] J. Li, S. Liu, and E. Y. Lam, “Efficient source and mask optimization with augmented Lagrangian methods in optical lithography,” Opt. Express, vol. 21, no. 7, pp. 8076-8090, 2013.
    [21] X. Ma, et al., “Gradient-based inverse extreme ultraviolet lithography,” Appl. Opt., vol. 54, no. 24, pp. 7284–7300, 2015.
    [22] Y. Peng, J. Zhang, Y. Wang, and Z. Yu, “Gradient-based source and mask optimization in optical lithography,” IEEE Trans. Image Process., vol. 20, no. 10, pp. 2856–2864, 2011.
    [23] L. Pang, D. Peng, P. Hu, et al., “Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT),” in Proc. SPIE 7640, p. 76400O, 2010.
    [24] C. Yang, X. Wang, S. Li, et al., “Source mask optimization using real-coded genetic algorithms,” in Proc. SPIE 8683, p. 86831T, 2013.
    [25] T. Fuehner, A. Erdmann, and T. Schnattinger, “Genetic algorithms for geometry optimization in lithographic imaging systems,” in Proc. SPIE 5558, pp. 29-40, 2004.
    [26] T. Fuhner and A. Erdmann, “Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm,” in Proc. SPIE 5754, pp. 415-426, 2005.
    [27] L. Wang, S. Li, X. Wang, et al., “Pixel-based mask optimization via particle swarm optimization algorithm for inverse lithography,” in Proc. SPIE 9780, p. 97801V, 2016.
    [28] W. U. Jian, T. Xinhua, and C. A. O. Yong, “The research of parallel multi-objective particle swarm optimization algorithm,” in IEEE 5th International Conference on Software Engineering and Service Science, pp. 300-304, 2014.
    [29] X.-S. Yang, Nature-inspired optimization algorithms, Elsevier, 2014.
    [30] K. Deb., Multi-objective optimization using evolutionary algorithms, John Wiley & Sons, 2001.
    [31] J. Senthilnath, et al., “Multiobjective discrete particle swarm optimization for multisensor image alignment,” IEEE Trans. Geosci. Remote Sens. Lett., vol. 10, no. 5, pp. 1095–1099, 2013.
    [32] H. Zhu, et al., “Particle swarm optimization (PSO) for the constrained portfolio optimization problem,” Expert System with Applications, vol. 38, pp. 10161–10169, 2011.
    [33] C. Blum and D. Merkle, Swarm intelligence: Introduction and applications., Springer, 2008.
    [34] M. S. Nobile, et al., “Estimating reaction constants in stochastic biological systems with a multi-swarm PSO running on GPUs,” in 14th Annual Conference on Genetic and Evolutionary Computation, pp. 1421–1422, 2012.
    [35] H. F. Kuo and W. C. Wu, “Forming freeform source shapes by utilizing particle swarm optimization to enhance resolution in extreme UV nanolithography,” IEEE Trans. Nanotechnol., vol. 14, no. 2, pp. 322–329, 2015.
    [36] L. Wang, et al., “Pixelated source optimization for optical lithography via particle swarm optimization,” J. Micro/Nanolithography, MEMS, MOEMS, vol. 15, no. 1, p. 13506, 2016.
    [37] C. Wagner and N. Harned, “EUV lithography: Lithography gets extreme,” Nature Photonics 4, 24-26, 2010.
    [38] A. Erdmann, et al., “Optical and EUV projection lithography: A computational view,” Microelectron. Eng., vol. 132, pp. 21–34, 2014.
    [39] R. Capelli, et al., “Scanner arc illumination and impact on EUV photomasks and scanner imaging,” in Proc. SPIE 9231, p. 923109, 2014.
    [40] D. Hellweg, J. Ruoff, A. HErkommer, et al., “AIMS EUV: the actinic aerial image review platform for EUV masks,” in Proc. SPIE 7969, p. 79690H, 2011.
    [41] O. Wood, S. Raghunathan, P. Mangat, et al., “Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks,” in Proc. SPIE 9422, p. 94220I, 2015.
    [42] L. Van Look, V. Philipsen, E. Hendrickx, et al., “Alternative EUV mask technology to compensate for mask 3D effects,” in Proc. SPIE 9658, p. 96580I, 2015.
    [43] H. Kang, S. Hansen, J. van Schoot, and K. van Ingen Schenau, “EUV simulation extension study for mask shadowing effect and its correction,” in Proc. SPIE 6921, p. 69213I, 2008.
    [44] P. C. W. Ng, et al., “Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects,” J. Micro/Nanolithography, MEMS, MOEMS, vol. 10, p. 13004, 2011.
    [45] S. Raghunathan, G. McIntyre, G. Fenger, et al., “Mask 3D effects and compensation for high NA EUV lithography,” in Proc. SPIE 8679, p. 867918, 2013.
    [46] H. Song, L. Zavyalova, I. Su, et al., “Shadowing effect modeling and compensation for EUV lithography,” in Proc. SPIE 7969, p. 79691O, 2011.
    [47] N. Chase, et al., “A benchmark study of multi-objective optimization methods,” in Red Cedar Technology, pp. 1–24, 2013.
    [48] N.-Y. Chung, P.-S. Kang, N.-R. Bang, et al., “‘Smart’ source, mask, and target co-optimization to improve design related lithographically weak spots,” in Proc. SPIE 9053, p. 90530H, 2014.
    [49] H.-F. Kuo, “Ant colony optimization-based freeform sources for enhancing nanolithographic imaging performance,” IEEE Trans. Nanotechnol., vol. 15, pp. 599–606, 2016.

    QR CODE