簡易檢索 / 詳目顯示

研究生: 許智涵
Chih-han Hsu
論文名稱: 考慮可靠度並使用矩形導通孔及雙導通孔進行三維積體電路溫度導向平面規劃
Reliability Consideration with Rectangle - and Double - Signal Through Silicon Vias Insertion for 3D Thermal-Aware Floorplanning
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 蔡坤霖
Kun-Lin Tsai
許孟超
Mon-Chau Shie
吳晉賢
Chin-Hsien Wu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2012
畢業學年度: 100
語文別: 英文
論文頁數: 35
中文關鍵詞: 可靠度雙導通孔矩形導通孔
外文關鍵詞: reliability, rectangle-STSV, double-STSV
相關次數: 點閱:184下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 三維積體電路將各層的裝置整合在一起後,導致電路嚴重無法散熱的問題,進而使得整體電路可靠度的降低;可靠度的降低不僅僅造成電路的損害還會造成不可預期的錯誤或損失。
    由於電路散熱及可靠度的問題日趨嚴重,所以本篇論文提出了適用於三維積體電路設計的全新型態訊號導通孔(矩形訊號導通孔以及一般型態訊號雙導通孔)技術,並且使用模擬退火的機制來插入此二種訊號導通孔。
    先前的研究指出在一定程度下,當訊號導通孔越多,可靠度會越高,但是卻會造成越大的面積。因此,適當地取捨訊號導通孔的數量、可靠度及面積是相當重要的,我們的研究在考量上述取捨中插入適當的訊號導通孔,此外也將散熱導通孔插入處理完之溫度導向平面規劃。有了這些規劃,我們相信此研究能夠確保電路更為可靠。
    實驗結果顯示我們的研究可以有效的將單一訊號導通孔改善為矩形訊號導通孔以及一般型訊號雙導通孔達百分之八十以上;再加上,我們可以用極少數量的散熱導通孔即能改善整體電路的溫度至攝氏八十度左右。


    Vertical integration of layers in a 3D IC exacerbates thermal problem especially for reliability degradation. Low reliability can not only damage the whole circuits but also occur unexpected performance loss. In this thesis, we conduct the SA engine with rectangle-STSVs and double-STSVs for improving reliability. The earlier research indicates that the more STSVs a chip has, the better the reliability is. However, it also implies a larger area. Therefore, we develop a methodology to manipulate thermal-aware floorplan with the tradeoff among the number of STSVs, reliability, and area of a chip. Moreover, we manage our manipulated floorplan with precise thermal model for TTSVs insertion at via channel. Experimental results show that more than 80% of single-STSVs can be replaced by rectangle-STSVs or double-STSVs, thereby improving reliability. Furthermore, temperature can be maintained around 80℃ with minimal TTSVs after inserting TTSVs.

    Table of Contents iv List of Tables vi List of Figures vii Abstract viii 1 Introduction 1 1.1 Organization of This Thesis . . . . . . . . . .. . . . . . . . . . . . 3 2 Preliminaries 5 2.1 Simulated Annealing Engine . . . . . . . . . .. . . . . . . . . . . . . 5 2.2 Thermal Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.3 Reliability Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.4 Floorplanning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 2.4.1 B*-tree Floorplan Technique . . . . . . . . . . . . . . . . . .. . 8 2.4.2 Clustered-Based 3D Thermal Floorplanning . . . . . . . . . . . . . 9 3 Proposed Methods 16 3.1 Rectangle-STSV and Double-STSV . . . . . . . . . . . . . . . . . . . . 16 3.2 STSVs Assignments with Weighted Flow Network . . . . . . . . . . . . . 17 3.3 Design Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.3.1 Phase I - STSVs Insertion . . . . . .. . . . . . . . . . . . . . 19 3.3.2 Phase II - TTSVs Insertion . . . . . . . . . . . . . . . . . . . 19 4 Experiment Results 27 5 Conclusions 31 Bibliography 32

    [1] K. Banerjee, S. Souri, P. Kapur, and K. Saraswat, “3-d ics: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration,” Proceedings of the IEEE, vol. 89, no. 5, pp. 602 –633, may 2001.
    [2] S. Adya and I. Markov, “Fixed-outline floorplanning: enabling hierarchical design,”Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 11, no. 6,pp. 1120 –1135, dec. 2003.
    [3] Z. Li, X. Hong, Q. Zhou, Y. Cai, J. Bian, H. H. Yang, V. Pitchumani, and C.-K. Cheng,“Hierarchical 3-d floorplanning algorithm for wirelength optimization,” Circuits and Systems I: Regular Papers, IEEE Transactions on, vol. 53, no. 12, pp. 2637 –2646, dec. 2006.
    [4] N. A. Sherwani, “Algorithms for vlsi physical design automation,” in Kluwer Academic Publishers, 1999.
    [5] S. S. Yuan Xie, Jason Cong, Ed., Three-Dimensional Integrated Circuit Design: EDA, Design and Microarchitectures. Springer, 2010.
    [6] M.-C. Tsai, T.-C. Wang, and T. Hwang, “Through-silicon via planning in 3-d floorplanning,”Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,vol. 19, no. 8, pp. 1448 –1457, aug. 2011.
    [7] A. Shayan, X. Hu, H. Peng, C.-K. Cheng,W. Yu,M. Popovich, T. Toms, and X. Chen, “Reliability aware through silicon via planning for 3d stacked ics,” in Design, Automation Test in Europe Conference Exhibition, 2009. DATE ’09., april 2009, pp. 288 –291.
    [8] S. Im and K. Banerjee, “Full chip thermal analysis of planar (2-d) and vertically integrated(3-d) high performance ics,” in Electron Devices Meeting, 2000. IEDM Technical Digest. International, 2000, pp. 727 –730.
    [9] A. Raman, M. Turowski, and M. Mar, “Layout based full chip thermal simulations of stacked 3d integrated circuits,” ASME Conference Proceedings, vol. 2003, no. 37149, pp. 159–164, 2003. [Online]. Available: http://link.aip.org/link/abstract/ASMECP/v2003/i37149/p159/s1
    [10] J. Cong, J. Wei, and Y. Zhang, “A thermal-driven floorplanning algorithm for 3d ics,”in Computer Aided Design, 2004. ICCAD-2004. IEEE/ACMInternational Conference on, nov. 2004, pp. 306 – 313.
    [11] W.-L. Hung, G. Link, Y. Xie, N. Vijaykrishnan, and M. Irwin, “Interconnect and thermal-aware floorplanning for 3d microprocessors,” in Quality Electronic Design, 2006. ISQED ’06. 7th International Symposium on, march 2006, pp. 6 pp. –104.
    [12] L. Xiao, S. Sinha, J. Xu, and E. Young, “Fixed-outline thermal-aware 3d floorplanning,” in Design Automation Conference (ASP-DAC), 2010 15th Asia and South Pacific,jan. 2010, pp. 561 –567.
    [13] P. Zhou, Y. Ma, Z. Li, R. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, “3dstaf: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits,” in Computer-Aided Design, 2007. ICCAD 2007. IEEE/ACM International Conference on, nov. 2007, pp. 590 –597.
    [14] T.-C. Kan, S.-H. Yang, T.-F. Chang, and S.-J. Ruan, “Nanometer-scale standard cell library for enhanced redundant via1 insertion rate.” GLSVLSI, 2011.
    [15] P. Wilkerson, A. Raman, and M. Turowski, “Fast, automated thermal simulation of three-dimensional integrated circuits,” in Thermal and Thermomechanical Phenomena in Electronic Systems, 2004. ITHERM ’04. The Ninth Intersociety Conference on, june 2004, pp. 706 – 713 Vol.1.
    [16] R. Mack, “Vlsi physical design automation: theory and practice,” Electronics Communication Engineering Journal, vol. 8, no. 2, p. 56, apr 1996.
    [17] D. Wong and C. Liu, “A new algorithm for floorplan design,” in Design Automation, 1986. 23rd Conference on, june 1986, pp. 101 – 107.
    [18] H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, “Rectangle-packing-based module placement,” in Computer-Aided Design, 1995. ICCAD-95. Digest of Technical Papers., 1995 IEEE/ACM International Conference on, nov 1995, pp. 472 –479.
    [19] P.-N. Guo, C.-K. Cheng, and T. Yoshimura, “An o-tree representation of non-slicing floorplan and its applications,” in Design Automation Conference, 1999. Proceedings. 36th, 1999, pp. 268 –273.
    [20] J.-M. Lin and Y.-W. Chang, “Tcg: A transitive closure graph-based representation for general floorplans,” Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 13, no. 2, pp. 288 –292, feb. 2005.
    [21] Y.-C. Chang, Y.-W. Chang, G.-M. Wu, and S.-W. Wu, “B*-trees: a new representation for non-slicing floorplans,” in Design Automation Conference, 2000. Proceedings 2000. 37th, 2000, pp. 458 –463.
    [22] [Online]. Available: http://www.cse.psu.edu/ yuanxie/3d.html
    [23] J.-T. Yan, Z.-W. Chen, Y.-H. Chou, S.-H. Lin, and H. Chiueh, “Thermal-driven white space redistribution for block-level floorplans,” in Electronics, Circuits and Systems, 2008. ICECS 2008. 15th IEEE International Conference on, 31 2008-sept. 3 2008, pp. 662 –665.
    [24] [Online]. Available: http://vlsicad.cs.binghamton.edu/benchmarks.html

    無法下載圖示 全文公開日期 2017/07/19 (校內網路)
    全文公開日期 2027/07/19 (校外網路)
    全文公開日期 2027/07/19 (國家圖書館:臺灣博碩士論文系統)
    QR CODE