簡易檢索 / 詳目顯示

研究生: 應佳山
CHIA-SHAN YING
論文名稱: 多核心處理器之群組式動態溫度管理機制
Task Grouping for Dynamic Thermal-Aware Management in Multi-Core Processors
指導教授: 陳維美
Wei-Mei Chen
口試委員: 阮聖彰
Shanq-Jang Ruan
許孟超
Mon-Chau Shie
林淵翔
Yuan-Siang Lin
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2012
畢業學年度: 100
語文別: 中文
論文頁數: 70
中文關鍵詞: 動態熱能管理機制動態電壓管理機制多核心系統程式行為模式Linux核心工作排程
外文關鍵詞: dynamic thermal-aware management (DTM), dynamic voltage and frequency scaling (DVFS), program behavior, multicore, Linux kernel scheduling
相關次數: 點閱:270下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

隨著半導體技術的發展,不管是桌機亦或是行動裝置,其使用核心之數量日與聚增。雖然大幅度提升執行效率,但也隨即帶來系統熱能大量攀升,導致冷卻成本提升、功能單元之使用期限與可靠度下降。因此,本論文探討多核心系統中執行多執行緒程式之核心溫度變化之議題,並提出一高效率之動態熱能管理機制動態調整處理器頻率以降低處理器溫度攀升。
本機制主要包含三部分:壹、利用處理器中效能計數器監視並預測程式行為與系統實際溫度,並判斷適合各程式之處理器頻率,使該程式在較低的頻率中執行,不但能降低系統溫度且也盡量不失其執行效能。貮、各處理器以一週期性工作平衡機制來確保核心工作量之平衡與將所要執行的執行緒分群;接著去監控系統溫度是否有超過所設定之溫度臨界值來觸發溫度管理機制以確保各處理器間的溫度平衡。參、分析每一群組,調整一適合該群組之處理器頻率。
在此研究之實驗中,我們將此溫度管理機制實現於Linux 2.6作業系統中,並測式具公信標準之測試程式。根據實驗結果,此機制相對於Full Frequency系統平均可以在僅造成4.1%之執行時間效能損失下,系統最高溫度下降3.65度、且分別減少66.4%之熱危害時間、8.1%之系統能耗、4.2%權衡值EDP與70.65%之權衡值TBE。


The development of semiconductors has increased the number of on-chip cores of desktop personal computers (PCs) and mobile device. This technique significantly improves system execution efficiency, but it also causes thermal issues in cooling costs, lifetime, and reliability. Therefore, this paper discusses the variation of core temperatures in multi-threaded programming, and proposes a high-performance dynamic thermal management technique that dynamically adjusts frequencies and voltages to reduce system core temperature.
This study is divided into three phases: First, performance monitors are used to track and predict thread behaviors and temperature information for each core. This system provides strategies for determining the appropriate core frequency to reduce temperature variation and energy consumption with a negligible degradation performance. Second, we propose a periodic migration method to ensure that the number of threads is balanced among cores and threads are clustered into a group by their behaviors. After that, the control mechanism of temperature will be triggered to balance each core’s temperature if the core temperature in system has been over the default threshold. Third, the processor frequency is adjusted for each core.
The proposed approach is implemented on a quad-core processor running a Linux operating system. Comparisons using industry standard benchmarks show that the proposed approach is capable of reducing peak temperature, over-threshold time, and energy consumption by an average of 3.65°C, 66.4%, and 8.1%, respectively, with only a 4.1% penalty in performance. Meanwhile, the method achieves a more efficient energy delay product (EDP) and thermal balance efficiency (TBE) for most benchmarks.

中文摘要 英文摘要 圖索引 表索引 第一章 緒論 第二章 相關研究 第三章執行緒之行為與資訊 3.1 指令行為模式和能力 3.2 溫差行為模式和能力 第四章 群集式核心溫度控制與管理機制 4.1 個別執行緒之溫度預測 4.1.1 溫差行為模式預測 4.1.2 指令行為模式預測 4.2 溫度與Phase混合考量之遷移 4.2.1 週期性之Phase考量遷移機制 4.2.2 臨界超溫之溫控機制 4.3 群組為單位之DVFS調頻 第五章 建構與實現 5.1 執行緒行為與核心溫度之監測 5.2 Linux 2.6排班機制與觸發流程 5.3 DVFS之調用與設定 第六章 實驗結果與討論 6.1 預測器之錯誤率比較 6.2 SPEC CPU2006測試比較 6.3 Phoronix Test Suite測試比較 第七章 結論 參考文獻

[1] M. Bhadauria, V. Weaver, A. Mckee, Accomodating Diversity in CMPs with Heterogeneous Frequencies, High Performance Embedded Architectures And Compiler Lecture Notes in Computer Science, 2009.

[2] P. Chaparro, J. Gonzalez, G. Magklis, Q. Cai and A. Gonzalez, Understanding the Thermal Implications of Multicore Architectures, IEEE Transactions on Parallel and Distributed System, Vol.18, No.8, August 2007.

[3] D. Cuesta, J.L. Ayala, J. I. Hidalgo, D. Atienza, A. Acquaviva and E. Macii, Madrid, Adaptive Task Migration Policies for Thermal control in MPSoCs, IEEE Annual Symposium on VLSI, 2010

[4] J. Choi, C.Y. Cher, H. Franke, H. Hamann, A. Weger, P. Bose, Thermal-aware Task Scheduling at the System Software Level, Proceeding ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design Pages 213-218.

[5] A. Coskun, D. Atienza, T.S. Rosing, T. Brunschwiler, B. Michel, Energy-Efficient Variable-Flow Liquid Cooling in 3D Stacked Architectures, Design, Automation & Test in Europe conference & Exhibition, 2010.

[6] D. Cuesta, J. Ayala, J. Hidalgo, M. Ponino, A. Acquaviva, Thermal-Aware Floorplanning Exploration for 3D Multi-core Architectures, Proceedings of the 20th symposium on Great lakes symposium in VLSI, PP99-102.

[7] G. Contreras and M. Martonosi, Power Prediction for Intel XScaler Processors Using Performance Monitoring Unit Events, Internal symposium of Low Power Electronics and Design, 2005. pp 221-226.

[8] J. Donald and M. Martonosi, Techniques for Multicore Thermal Management: Classification and New Exploration, The proceedings of the 33rd International Symposium on Computer Architecture, 2006.

[9] M. David, C. Carlyle and H. Campbell, Context Switch Overheads for Linux on ARM Platforms, Proceedings of the 2007 workshop on Experimental computer science.

[10] P. Emma and E. Kursun, Is 3D chip technology the next groth engine for performance improvement, IBM J. RES. & DEV. Vol.52 ,No.6 , November 2008.

[11] S. Ghiasi, T. Keller and F. Rawson, Scheduling for Heterogeneous Processors in Server Systems, Proceedings of the 2nd conference on Computing frontiers, 2005, pp 199-210.

[12] A. Golander, S. Weiss and R. Ronen, DDMR: Dynamic and Scalable Dual Modular Redundancy with Short Validation Intervals, IEEE Computer Architecture Letter, Vol7,NO.2, July-December 2008.

[13] A. Golander, S. Weiss and R. Ronen, Synchronizing Redundant Cores in a Dynamic DMR Multicore Architecture, IEEE Transactions on Circuits And Systems, Vol.56, No.6, June 2009.

[14] M. Huang, J. Chang and W. Chen, Task Grouping for Efficient Power Management in Multi-core Processors, June 2011.

[15] M. Huang, J. M. Chang and W. Chen, Grouping-Based Dynamic Power Management for Multi-threaded Programs in Chip- Multiprocessors, The proceeding 7th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, 2009. pp 56-63.

[16] J. Henning, SPEC CPU2006 benchmark descriptions, ACM SIGARCH Computer Architecture News Volume 34 Issue 4, September 2006. pp 1-17.

[17] Intel Corporation, Enhanced Intelr SpeedStepr Technology for the Intelr Pentiumr M Processor, http://www.intel.com/design/intarch/papers/301174.htm, March 2004.

[18] Intel Corporation, Intelr 64 and IA-32 Architectures Software Developers Manual Volume 3A: System Programming Guide, Part 1, http://www.intel.com/products/processor/manuals/, November 2008.

[19] Intel Corporation, Intelr 64 and IA-32 Architectures Software Developers Manual Volume 3B: System Programming Guide, Part 2, http://www.intel.com/products/processor/manuals/, November 2008.

[20] R. Jayaseelan and T. Mitra, A Hybird Local-Global Approach for Multi-Core Thermal Management, IEEE/ACM International Conference on computer-Aided Desigh of Technical Papers, 2009.

[21] R. Kotla, A. Devgan, S. Ghiasi, Characterizing the Impact of Different Memory-Intensity Levels, International Workshop on Workload Characterization, 2004, pp 3-10.

[22] O. Khan and S. Kundu, Thread Relocation: A Runtime Architecture for Tolerating Hard Errors in Chip Multiprocessors, IEEE Trasactions on Computers, 2009.

[23] J. Lee, K. Skadron, S. W. Chung, Predictive Temperature-Aware DVFS, IEEE Transaction on computers, January 2010.

[24] R. Love, Linux kernel development 2nd ed. , Indianapolis, Ind.:Novell Press, 2005.

[25] W. MA and J. WANG, Analysis Of The Linux 2.6 kernel scheduler, International Conference On Computer Design And Applications(ICCDA 2010).

[26] A. Munir, S. Ranka, Ann G-Ross, High-Performance Green Embedded Computing, IEEE Transactions on Parallel and Distributed Systems.

[27] D. Nellans, R. Balasubramonian, Erik ,OS Execution on Multi- Cores:Is Out-Sourcing Worthwhile, http://www.cs.utah.edu/~rajeev/pubs/osr09.pdf.

[28] I. Nita and A. Rapan, Efficient threads mapping on multicore architecture, International Conference on 10-12 June, 2010.

[29] Phoronix Media, Phoronix Test Suite Benchmark, http://www.phoronixtest-suite.com/.

[30] R. Strong, J. Mudigonda, J. C.Mogul, N. Binkert, D. Tukksen, Fast Switching of Threads Between Cores, ACM SIGOPS Operating Systems Review Volume 43 Issue 2, April 2009, PP 35-45.

[31] T. Sondag and H. Rajan, Phase-guided Thread-to-core Assognment for Improved Utilization of Performance-Asymmetric Multi-Core Processors, Multicore Software Engineering, 2009. IWMSE.

[32] W. Wu, L. Jin, J. Yang, P. Liu, S. X.-D. Tan, A Systematic Method For Functional Unit Power Estimation in Microprocessors, DAC-06 Proceedings of the 43rd annual Design Automation Conference,2006, PP 554-557.

[33] L. XIA, Y. ZHU, J. YANG, J. YE and Z. GU, Implementing a Thermal-Aware Scheduler in Linux Kernel on a Multi-Core Processor, The Computer Journal Advance published January 6,2010.

[34] I. YEO, E. J. KIM, Temperature-aware scheduler based on thermal behavior grouping in multicore systems, IEEE Conference publications, 2009.pp946-951.

[35] X. ZHOU and J. YANG, Performance-Aware Thermal Management via Task Scheduling, ACM Transaction on Architecture and Code Optimization, April 2010.

[36] X. Zhou, J. Yang, Yi Xu, Y. Zhang and J. Zhao, Thermal-Aware Task Scheduling for 3D Multicore Processors, IEEE Transaction on Parallel and Distributed Systems, Vol.21,No.1, January 2010.

QR CODE