簡易檢索 / 詳目顯示

研究生: 陳鈺庭
Yu-Ting Chen
論文名稱: 拋光墊修整磨合期對銅膜晶圓化學機械拋光影響研究
Research of Dressing Break-in Time of Polishing Pad for Cu-Chemical Mechanical Polishing Process
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 張充鑫
Chung-Shin Chang
楊棋銘
Chih-Ming Yang
鄧建中
Chien-Chung Teng
鍾俊輝
Chun-Hui Chung
學位類別: 碩士
Master
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2014
畢業學年度: 102
語文別: 中文
論文頁數: 158
中文關鍵詞: 化學機械平坦化拋光墊拋光墊磨合期銅膜晶圓承壓比
外文關鍵詞: Chemical mechanical polishing, Polishing pad, Break in time index, Bearing area ratio, Copper blanket wafer
相關次數: 點閱:259下載:15
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 化學機械平坦化(Chemical Mechanical Planarization, CMP)已成為積體電路製程之關鍵技術,其中拋光墊(Polishing Pad)在整個CMP製程中扮演相當重要的角色,拋光墊的更換頻率影響到整個製程時間及穩定性。本研究進行CMP製程中,IC1000拋光墊之磨合期(Break-in Time)評估,以承壓面積比(Bearing Area Ratio, BAR)之反應區(Reaction Section)較大值與磨合期指標(Break-in Time Index, BTI)較小值,兩項指標分析拋光墊磨合時間與效果;由不同的修整參數對拋光墊進行修整,得知使用1.12psi及70rpm轉速可得到均勻表面粗糙度及較小移除量,並使用此參數進行磨合期測試,由實驗結果得知,拋光墊在修整12 min時,可得到承壓比最大反應區值為10.34%且磨合期指標為5.79;最後,利用銅膜晶圓進行CMP測試,並量測拋光墊承壓比反應區變化,當承壓比反應區維持在7.24%以上時,可得穩定銅膜晶圓平均移除率150.39 nm/min;在拋光過程中,銅膜晶圓表面粗糙度Sa最高值與最低值相差0.56nm,Sq值則相差0.65nm,未來可將磨合期指標應用至線寬20nm以下之銅化學機械平坦化製程。


    Chemical Mechanical Planarization (CMP) has become a critical technology in current integrated circuits planarization process. Polishing pad plays an important role in the CMP process and the pad replacement frequency also affects the entire process throughput and stability. In this study, the break-in time of IC1000 pad has been investigated and a Break-in Time Index (BTI) has been developed based on the bearing area ratio (BAR) method of pad working layer for CMP process of copper blanket wafers. Experimental results of different parameters in pad dressing tests, the optimal parameter of 1.12psi and 70rpm can be determined to evaluate the break-in time of pad. As dressing 12 minutes, results show that the maximum reaction section is around 10.34% and break-in time index is obtained as 5.79. Then, 18 copper blanket wafers have been used to run CMP experiments and measurements of have been implemented to obtain the variation of pad reaction section. Results show that stable materials removal rate (MRR) of CMP copper blanket wafer is obtained as 150.39nm/min when the pad reaction maintains above 7.24%. The variation of surface roughness Sa and Sq of wafer after CMP can achieve only 0.56 nm and 0.65 nm respectively. Future study can focus on development of BTI for Cu-CMP process under 20 nm devices.

    摘要 I Abstract II 致謝 III 圖目錄 XI 表目錄 XVII 名詞和符號表 XIX 第一章 導論 1 1.1 研究背景 1 1.2 研究目的與方法 5 1.3 論文架構 6 第二章 文獻回顧 8 2.1 磨合期相關文獻回顧 8 2.2 拋光墊及拋光墊磨合相關文獻回顧 10 2.3 銅膜晶圓拋光相關文獻回顧 26 2.4 文獻回顧總結 33 第三章 拋光墊修整效率分析 34 3.1拋光墊表面形貌分析 34 3.2 拋光墊分析 35 3.2.1 拋光墊機械性質 36 3.2.2 拋光墊之表面Glazing現象 37 3.2.3 拋光墊之修整 38 3.3磨合期與拋光墊分析 39 3.4 承壓比分析 42 3.4.1 承壓曲線(Bearing Area Curve) 42 3.4.2 拋光墊分層 43 3.4.3 承壓比分析拋光墊 44 3.5 CMP 拋光墊磨合製程模型建立 48 3.4.1 CMP 拋光墊修整機制 50 3.4.2 CMP 拋光墊磨合製程模型建立 50 第四章 實驗設備及規劃 52 4.1 實驗設備 52 4.2 實驗耗材 53 4.2.1 拋光墊 53 4.2.2 拋光液 53 4.2.3 鑽石修整器 55 4.2.4 銅片及銅膜晶圓 57 4.3 量測設備 58 4.4 實驗規劃 59 4.4.1 不同修整參數對於拋光墊修整影響(實驗A) 61 4.4.2 拋光墊磨合期分析(實驗B) 62 4.4.3拋光墊承壓比與工作效率估算(實驗C) 63 第五章 實驗結果與討論 64 5.1不同修整參數對於拋光墊修整影響(實驗A) 65 5.1.1 不同機械參數對於拋光墊移除率影響 66 5.1.2 不同機械參數對於拋光墊表面粗糙度影響 67 5.2 拋光墊磨合期分析(實驗B) 70 5.2.1 拋光墊基本性質分析 70 5.2.2 磨合期分析 74 5.3拋光墊承壓比與工作效率估算(實驗C) 84 5.3.1 拋光墊承壓比對銅片材料移除率影響 84 5.3.2 拋光墊承壓比對銅膜晶圓材料移除率及表面品質影 響 94 5.4綜合結果與討論 103 5.4.1 修整參數對於拋光墊表面修整影響 104 5.4.2 磨合期分析 105 5.4.3 承壓比對於材料移除率及表面品質影響 106 5.4.4 IC1000拋光墊分析 107 第六章 結論與建議 109 6.1 結論 109 6.2 建議 111 參考文獻 112 附錄 117 附錄A ISO 25178表面性狀參數說明 117 附錄B 本研究所使用之量測設備及耗材 119 附錄C 實驗A結果總表 124 附錄C-1 不同機械參數對於拋光墊移除率影響 124 附錄D 拋光墊磨合期分析 127 附錄D-1 拋光墊表面粗糙度 127 附錄D-2 拋光墊承壓比 138 附錄E 銅膜晶圓拋光後表面粗糙度值 149 附錄F 銅片預處理流程 157 作者簡介 158

    [1] E. L. Banks, "Method of polishing a semiconductor wafer", US 4256535 A", 1979.
    [2] N. Saka, T. Eusner, and J. H. Chun, "Scratching by pad asperities in chemical–mechanical polishing", CIRP Annals - Manufacturing Technology, vol. 59, pp. 329-332, 2010.
    [3] T. Eusner, N. Saka, and J. H. Chun, "Breaking-In a Pad for Scratch-Free, Cu Chemical-Mechanical Polishing", Journal of The Electrochemical Society, vol. 158, pp. 379, 2011.
    [4] 枋明輝,"無磨料電化學機械加工在銅薄膜平坦化製程之研究",國立台灣科技大學,碩士學位論文,2009。
    [5] 謝啟祥,"電場輔助化學機械拋光製程於銅模平坦化之研究",國立台灣科技大學,碩士學位論文,2011。
    [6] 黃星豪,"藍寶石晶圓拋光加工之摩擦力與拋光墊機械性質分析研究",國立台灣科技大學,碩士學位論文, 2013。
    [7] M. L. Shooman, "Probabilistic Reliability: An Engineering Approach", McGraw-Hill, Inc., 1968.
    [8] 光灼華與宋震國合著,"機械設計(第二版) ",全華圖書,2013。
    [9] D. Whitehouse, "Surface and their measurment", Hermen Penton Ltd, 2002.
    [10] W.Q. A. Chen Shao, Xi Lu, "Experimental Study of the Effect of Break-In Time on Fatigue Life of Transmission Gear",Mechanical Strengh, vol.27, pp. 541 - 543,2005.
    [11] P. B. Zantye, A. Kumar, and A. K. Sikder, "Chemical mechanical planarization for microelectronics applications", Materials Science and Engineering: R: Reports, vol. 45, pp. 89-220, 2004.
    [12] K. H. Park, H. J. Kim, O. M. Chang, and H. D. Jeong, "Effects of pad properties on material removal in chemical mechanical polishing", Journal of Materials Processing Technology, vol. 187-188, pp. 73-76, 2007.
    [13] S. T. M. Sidney Huey, Yuchun Wang and Raymond R. Jin, "Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC", IEEE, 1999.
    [14] H. D. Jeong, K. H. Park, and K. K. Cho, "CMP Pad Break-in Time Reduction in Silicon Wafer Polishing", CIRP Annals - Manufacturing Technology, vol. 56, pp. 357-360, 2007.
    [15] B. Park, H. Lee, K. Park, H. Kim, and H. Jeong, "Pad roughness variation and its effect on material removal profile in ceria-based CMP slurry" ,Journal of Materials Processing Technology, vol. 203, pp. 287-292, 2008.
    [16] M.Y. Tsai, S.T. Chen, Y.-S. Liao, and J. Sung, "Novel diamond conditioner dressing characteristics of CMP polishing pad", International Journal of Machine Tools and Manufacture, vol. 49, pp. 722-729, 2009.
    [17] L. C. Zhang, A. Q. Biddut, and Y. M. Ali, "Dependence of pad performance on its texture in polishing mono-crystalline silicon wafers", International Journal of Mechanical Sciences, vol. 52, pp. 657-662, 2010.
    [18] C. Lee, H. Lee, M. Jeong, and H. Jeong, "A study on the correlation between pad property and material removal rate in CMP", International Journal of Precision Engineering and Manufacturing, vol. 12, pp. 917-920, 2011.
    [19] E.S. Lee, J.W. Cha, and S.H. Kim, "Evaluation of the wafer polishing pad capacity and lifetime in the machining of reliable elevations", International Journal of Machine Tools and Manufacture, vol. 66, pp. 82-94, 2013.
    [20] B. Vasilev, S. Bott, R. Rzehak, and J. W. Bartha, "Pad roughness evolution during break-in and its abrasion due to the pad-wafer contact in oxide CMP", Microelectronic Engineering, vol. 111, pp. 21-28, 2013.
    [21] 王柏凱,"雷射共軛焦三維表面形貌量測儀開發應用於拋光墊之碎形維度和承載比分析",國立台灣科技大學,碩士學位論文,2013。
    [22] S. D. Brusic V, Kaufman F, Kistler R, Streinz C, "Proceedings of the First Symposium on CMP in IC Device Manufacturing", Electrochem. Soc., San Antonio, TX, 1996.
    [23] S. Kim, N. Saka, J. H. Chun, and S. H. Shin, "Modeling and mitigation of pad scratching in chemical–mechanical polishing", CIRP Annals - Manufacturing Technology, vol. 62, pp. 307-310, 2013.
    [24] Y. N. Yoshiyuki Matsumura, Masaharu Kinoshita "Pad Surface Roughness and Cu CMP Performance", PacRim-CMP, 2004.
    [25] S. Choi, F. M. Doyle, and D. Dornfeld, "A Model of Material Removal and Post Process Surface Topography for Copper CMP", Procedia Engineering, vol. 19, pp. 73-80, 2011.
    [26] W.E. Fu, C.C. A. Chen, Y.D. Lin, Y.Q. Chang, and Y.-H. Huang, "Passivation layer effect on surface integrity induced by Cu-CMP", Thin Solid Films, vol. 519, pp. 4874-4879, 2011.
    [27] F. K. H. Liang, R. Sevilla, S. Anjur,, "Wear phenomena in chemical mechanical polishing", Wear 211, pp. 271-279, 1997.
    [28] E. J. F. A. F. Abbott, "Specifying surface quality: a method based on accurate measurement and comparison", Mechanical Engineering, pp. 569-572, 1933.
    [29] K. L. Johnson, " Contact Mechanics", pp. 407., 1985.
    [30] G. W. B. Stachowiak, A. W. "Engineering tribology. Boston: Butterworth-Heinemann", pp. 450, 2001.
    [31] H. K. Sunghoon Lee, David Dornfeld, "Development of a CMP pad with controlled micro features for improved performance", IEEE, 2005.
    [32] M. Stewart, "A New Approach to the Use of Bearing Area Curve", International Honing Technologies and Applocations, 1990.
    [33] "Surface roughness Getting Started Guide", Keyence , 2012.
    [34] I. S. S. Mercer, "A method for predictin the evilution of surface topography during wear", 1999.
    [35] L. B. X. Jiang, "Advanced Techniques for Assessment Surface Topography-Development of a Basis for 3D surface Texture standards", 2003.
    [36] C. C. A. Chen, "Handout of manufacturing analysis", 2012.
    [37] M. Metrology, "3D Functional Parameters", Penton Press Ltd, 2014.

    QR CODE