簡易檢索 / 詳目顯示

研究生: 謝啟祥
Chi - Hsiang Hsieh
論文名稱: 電場輔助化學機械拋光製程於銅膜平坦化之研究
Development of an Electrical Assisted Chemical Mechanical Polishing (EACMP) for Cu Film Planarization
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 左培倫
Pei-Lum Tso
康來成
LC Kong
許厲生
L. S. Hsu
郭俞麟
Yu-Lin Kuo
學位類別: 碩士
Master
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2011
畢業學年度: 99
語文別: 中文
論文頁數: 215
中文關鍵詞: 電場輔助化學機械拋光動電位及化曲線拋光終點偵測平坦化加工
外文關鍵詞: EACMP, PD-curve, Endpoint detection, Planarization
相關次數: 點閱:288下載:31
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 化學機械拋光(Chemical Mechanical Polishing, CMP)因具有快速移除材料且可達全面性平坦化之需求而成為近來半導體製程中最受矚目的平坦化技術,但隨著圖型尺寸逐漸微小化以及3D IC技術的快速發展,CMP技術在金屬薄膜平坦化方面當圖型尺寸進入32nm以下之發展已遭遇到表面刮傷、薄膜剝離及Dishing、Erosion等相關缺陷問題,因此透過外加能量的輔助使其仍能達到所要求之目標已成為平坦化技術發展之趨勢。本研究透過電場輔助於CMP製程中來探討外加能量對於平坦化技術之改善情形,經由鑲嵌形電極的設計來達到平坦化製程中銅材料氧化還原及研磨液循環之目的。本研究也探討研磨液各成分添加濃度對於電化學特性的影響,並搭配實驗拋光結果以材料移除率為優先考量進行研磨液改良。由實驗結果發現,鑲嵌形電極設計確實會造成研磨液循環產生,而研磨液改良前後並不會造成表面非均勻性提高,而材料移除率則可由20nm/min提升至63nm/min。同時,由實驗結果也發現電場輔助對於材料移除率將可造成30%的提升情形。透過系統中摩擦力量感測裝置的檢測可確認摩擦力於製程中區分為預壓區、拋光區、拋光-終點轉換區及終點區。本研究相關成果可作為研磨液和平坦化製程改進評估,以及日後3D IC CMP所需技術發展之參考。


    Chemical mechanical planarization/polishing (CMP) has become an attractive technology in IC manufacturing process because it can achieve the requirement of high material removal rate (MRR) and global planarization. As the feature size decreases and the rapid development of 3D IC technique, the demands of maintaining high MRR and excellent surface quality in CMP has become a severe challenge. In the metal film CMP aspect, applying high pressure and rotational speed have caused many defects when the feature size moves to 32nm and beyond. Since the low down pressure and rotational speed can not fufill the MRR performance, hybrid energy CMP process has become a tentative technique to solve such problems. This study is to establish an electrical assisted chemical mechanical planarization (EACMP) system by using damascene electrodes that can achieve copper material redox reaction and also assist in slurry circulation. This study also discusses the electrochemical characteristics of slurry and then the modified slurry has been developed by electrochemical property inspection. Moreover, EACMP experiment has been used to investigate the endpoint of the polishing process and the performance of conventional CMP and EACMP. Experimental results show that the wafer surface non-uniformity is maintained and MRR can increase from 20nm/min to 63nm/min when using the developed slurry. The EACMP process can achieve 30% MRR increasing than the conventioned CMP process. From the endpoint detection results, the pre-loading region, polishing region, transient region and endpoint can be found to control the EACMP process. Results can be further applied for slurry analysis and process optimization, especially for the near future of requirement in the 3D IC fabrication process.

    目錄 摘要........... I Abstract....... II 致謝.........……III 目錄............. IVV 圖目錄............ VIII 表目錄............ XVIII 符號表......... XX 第一章 緒論 1 1.1研究背景 1 1.2 研究目的與方法 7 1.3 論文架構 9 第二章 文獻回顧 11 2.1 金屬化學機械平坦化( Metal CMP) 14 2.1.1 -Tungsten CMP 15 2.1.2 -Copper CMP 19 2.2 研磨液及拋光墊對於CMP之影響 22 2.2.1 研磨液 22 2.2.2 拋光墊 34 2.3 電化學機械平坦化(ECMP) 40 2.4 -TSV CMP 55 2.5 導電電極設計相關專利分析 59 2.5.1 專利整理 59 2.5.2 專利分析及探討 69 第三章 電場輔助化學機械平坦化原理介紹 70 3.1 電滲理論(Electro-osmosis mechanism) 70 3.1.1 電雙層(Electric Double Layer) 71 3.1.2 鑲嵌型(Damascene)電極系統之電滲機制 73 3.2 電化學反應動力學 75 3.2.1 電流-電位方程式 76 3.2.2 電流-過電位方程式 80 3.2.3 Tafel 曲線 81 3.3 材料腐蝕與抑制原理 83 3.3.1 腐蝕定義及分類 83 3.3.2 極化曲線與鈍化膜理論 86 3.3.3 腐蝕電位及腐蝕電流 88 3.3.4 法拉第定理(Faraday’s law) 88 3.3.5 電化學腐蝕速率 89 3.4 平坦化技術材料移除機制與製程模型建立 90 3.4.1 化學機械研磨材料移除機制與製程模型建立 92 3.4.2 無磨料電化學機械研磨材料移除機制與製程模型建立 96 3.4.3 電場輔助化學機械平坦化材料移除機制與製程模型建立 101 3.4.4 平坦化技術材料移除機制與製程模型建立小結 105 第四章 實驗設備與規劃 106 4.1 EACMP系統 106 4.2 實驗設備 108 4.2.1 拋光機 108 4.2.2 恆電位儀 109 4.2.3 研磨液動態電化學分析系統 110 4.3 實驗耗材 111 4.3.1 拋光墊 111 4.3.2 研磨液 113 4.3.3 鑽石修整器 114 4.3.4 銅試片及銅晶圓(Cu pattern wafer) 115 4.4 量測設備 118 4.4.1 α-step探針式表面輪廓儀 118 4.4.2 四點探針 118 4.4.3 磨擦力量感測器 119 4.4.4 精密電子天秤 120 4.4.5 掃描式電子顯微鏡 121 4.5 實驗規劃 121 4.5.1 研磨液電化學分析實驗 122 4.5.2 銅晶圓EACMP實驗 123 4.5.3 銅圖案化晶圓EACMP實驗 124 第五章 結果與討論 125 5.1 電滲現象對研磨液循環輔助探討 (實驗A) 125 5.2 研磨液電化學分析結果與討論 (實驗B) 128 5.2.1 氧化劑濃度分析 128 5.2.2 抑制劑濃度分析 131 5.2.3 錯合劑濃度分析 134 5.2.4 酸鹼值調節劑分析 138 5.2.5 研磨顆粒濃度分析 142 5.2.6 製程參數分析 144 5.3 銅晶圓EACMP實驗 (實驗C) 148 5.3.1 氧化劑濃度探討 148 5.3.2 抑制劑濃度探討 152 5.3.3 錯合劑濃度探討 155 5.3.4 酸鹼值調節劑探討 159 5.3.5 EACMP製程參數探討 162 5.3.6 改良H3PO4研磨液於EACMP製程探討 166 5.4 圖案化銅晶圓EACMP實驗 (實驗D) 172 第六章 結論與建議 178 6.1 結論 178 6.2 建議 179 參考文獻 180 附錄............. 188 附錄A SEMATECH- 854AZ 詳細圖案示意圖 188 附錄B 銅晶圓表面輪廓資料 189 作者簡介 192

    1. Parshuram B. Zantye, Ashok Kumar, A.K. Sikder, Chemical mechanical planarization for microelectronics applications, Materials Science and Engineering, Vol.45, No.3-6, 89–220, 2004.
    2. Hong Xiao, Introduction to semiconductor manufacturing technology, Pearson, 2002.
    3. 土肥俊郎, Details of semiconductor CMP technology, 2000.
    4. David Dornfeld, Sustainable Manufacturing and CMP, International conference on planarization/CMP technology, 2008.
    5. Haedo Jeong, Recent developments of CMP process in Korea semiconductor industries, International seminar on CMP application technology, 2007.
    6. James C. Sung, The consumables for CMP of 22nm IC on 450mm wafers, CMP forum, SEMICON Taiwan, 2009.
    7. F. W. Preston, The theory and design of plate glass polishing machine, Journal of the society of glass technology, Vol.11, 214-257, 1927.
    8. F. B. Kaufman, D. B. Thompson, R. E. Broadie, M. A. Jaso, W. L. Guthrie, D. J. Pearson, M. B. Small ,Chemical mechanical polishing for fabricating patterned W metal features as chip interconnects, Journal of Electrochemical Society, Vol.138, No.11, 3460-3465, 1991.
    9. Yong-Jin Seo, Woo-Sun Lee, Effects of different oxidizers on the W-CMP performance, Materials Science and Engineering, Vol.118, No.1-3, 281-284, 2005.
    10. Yohei Yamada, Masanori Kawakubo, Osamu Hirai, Nobuhiro Konishi, Syuhei Kurokawa, Toshiro Doi, Frictional Characterization of Chemical Mechanical Polishing Pad Surface and Diamond Conditioner Wear, Japanese Journal of Applied Physics, Vol.47, No.8, 6282-6287, 2008.
    11. Wei-En Fu, Tzeng-Yow Lin, Meng-Ke Chen, Chao-Chang A. Chen, Surface qualities after chemical–mechanical polishing on thin films, Thin solid films, Vol.517, No.17, 4909-4915, 2009.
    12. S. Sanfameshwar Rao, Multi level metallization, Indo-German winter academy, 2005.
    13. A. R. Sethuraman, Jiun-Fang Wang, Lee M. Cook, Review of planarization and reliability aspects of future interconnect materials, Journal of Electronic Materials, Vol.25, No.10, 1617-1622, 1996.
    14. Feng Q. Liu, Tianbao Du, Alain Duboust, Stan Tsai, Wei-Yung Hsu, Cu planarization in electrochemical mechanical planarization, Journal of The Electrochemical Society, Vol.153, No.6, C377-C381, 2006.
    15. Hyunseop Lee, Boumyoung Park, Haedo Jeong, Mechanical effect of process condition and abrasive concentration on material removal rate profile in copper chemical mechanical planarization, Journal of Materials Processing Technology, Vol.209, No.4, 1729-1735, 2009.
    16. 林彥德, 應用X-Ray量測技術研究薄膜應力特性與銅薄膜化學機械拋光製程之影響, 機械工程學系碩士論文, 國立台灣科技大學, 2010.
    17. H. Hocheng, H. Y. Tsai, Y. T. Su, Modeling and experimental analysis of the material removal rate in the chemical mechanical planarization of dielectric films and bare silicon wafers, Journal of Electrochemical Society, Vol.148, No.10, G581-G586, 2001.
    18. Mahadevaiyer Krishnan, Jakub W. Nalaskowski, Lee M. Cook, Chemical mechanical planarization: slurry chemistry, materials, and mechanisms, Chemical Reviews, Vol.110, No.1, 178-204, 2010.
    19. T. Du, V. Desai, Chemical mechanical planarization of copper: pH effect, Journal of Materials Science Letters, Vol.22, No.22, 1623-1625, 2003.
    20. Tianbao Du, Dnyanesh Tamboli, Vimal Desai, Electrochemical characterization of copper chemical mechanical polishing, Microelectronic Engineering, Vol.69, No.1, 1-9, 2003.
    21. Nam-Hoon Kim, Jong-Heun Lim, Sang-Yong Kim, Eui-Goo Chang, Effect of Phosphoric acid stabilizer on copper and tantalum nitride CMP, Material Letters, Vol.57, No.29, 4601-4604, 2003.
    22. Do-Won Lee, Tae-Gun Kim, Nam-Hoon Kim, Sang-Yong Kim, Eui-Goo Chang, Stability of H2O2 as an Oxidizer for Cu CMP, Electrical and Electronic Materials, Vol.6, No.1, 29-32, 2005.
    23. Hiroki Tanada, Takashi Miyoshi, Yasuhiro Takaya, Terutake Hayashi, Keisuke Suzuki, Novel CMP Technique for Copper Surface Finishing with Fullerene Nano-Particle, The Proceedings of the American Society of Precision Engineering, California, USA, 595-598, 2006.
    24. Ping Liu, Xinchun Lu, Yuhong Liu, Jianbin Luo, Guoshun Pan, Chemical Mechanical Planarization of Copper Using Ethylenediamine and Hydrogen Peroxide Based slurry, Advanced Tribology Proceedings of CIST2008 & ITS-IFToMM2008, Beijing, China, 908-911, 2008.
    25. Hyunseop Lee, Sukbae Joo, Haedo Jeong, Mechanical effect of colloidal silica in copper chemical mechanical planarization, Journal of Materials Processing Technology, Vol.209, No.20, 6134-6139, 2009.
    26. S. Armini, C. M. Whelan, M. Moinpour, K. Maex, Copper CMP with composite polymer core–silica shell abrasives: a defectivity study, Journal of Electrochemical Society, Vol.156, No.1, H18-H26, 2009.
    27. Choa-Chang A. Chen, Chi-Hsiang Hsieh, Effect of inhibiter concentration on Cu CMP slurry analyzed by a Cu ECMP system, Electrochemical society, Las Vegas, USA, 2010.
    28. G. P. Muldowney, David B. James, Characterization of CMP pad surface texture and pad wafer contact, Advances in chemical mechanical polishing, Vol. 816, No.5, 2.1-2.12, 2004.
    29. John McGrath, Chris Davis, Polishing pad surface characterization in chemical mechanical planarization, Journal of materials processing technology, Vol.153-154, 666-673, 2004.
    30. D. Rosales-Yeomans, D. DeNardis, L. Borucki, A. Philipossian, Design and evaluation of pad grooves for copper CMP, Journal of the electrochemical society, Vol.155, No.10, H797-H806, 2008.
    31. 薛慶堂, 化學機械拋光之拋光墊性能分析與平坦化加工研究, 機械工程學系碩士論文, 國立台灣科技大學, 2011.
    32. M. Mellier et al., Full copper electrochemical mechanical planarization as a technology enabler for the 45 and 32 nm nodes, International Interconnect Technology Conference, IEEE, No.1, 70-72, 2007.
    33. Sukhoon Jeong, Sangjik Lee, Haedo Jeong, Effect of polishing pad with holes in electro-chemical mechanical planarization, Microelectronic Engineering, Vol.85, No.11, 2236-2242, 2008.
    34. Dedy Ng, Tapajyoti Sen, Feng Gao, Hong Liang, Friction and Wear-Mode Comparison in Copper Electrochemical Mechanical Polishing, Journal of The Electrochemical Society, Vol.155, No.7, H520-H524, 2008.
    35. Sukhoon Jeong, Sukbae Joo, Hyoungjae Kim, Sungryul Kim, Haedo Jeong, Effect on two-step polishing process of electrochemical mechanical planarization and chemical–mechanical planarization on planarization, Japanese Journal of Applied Physics, Vol.48, No.6, 066512, 2009.
    36. Abhinav Tripathi, Ian Ivar Suni, Yuzhuo Li, Francois Doniat, James McAndrew, Cu Electrochemical Mechanical Planarization Surface Quality, Journal of The Electrochemical Society, Vol.156, No.7, H555-H560, 2009.
    37. 枋明輝, 無磨料電化學機械加工在銅薄膜平坦化製程之研究, 機械工程學系碩士論文, 國立台灣科技大學, 2009.
    38. Yong-Jin Seo, Electrochemical-mechanical polishing application: Monitoring of electrochemical copper removal from current-voltage charicteristics in HNO3 electrolyte, Microelectronic Engineering, Vol.88, No.1, 46-52, 2010.
    39. Te-Ming Kung, Chuan-Pu Liu, Shih-Chieh Chang, Kei-Wei Chen, Ying-Lang Wang, Effect of Cu Ion Concentration in Concentrated H3PO4 Electrolyte on Electrochemical Mechanical Planarization, Journal of The Electrochemical Society, Vol.157, No.7, H763-H770, 2010.
    40. Nadiia Kulyk, Chang Yong An, Jung Hoon Oh, Sung Min Cho, Changsup Ryu, Young Kwan Ko, Chan-Hwa Chung, Study on electrochemical mechanical polishing process of copper circuit on PCB, Korean Journal of Chemical Engineering, Vol.27, No.1, 310-314, 2010.
    41. Shigeru Tominaga, Daisuke Abe, Taro Enomoto, Seiichi Kondo, Hideki Kitada, Takayuki Ohba, Hybrid Electrochemical Mechanical Planarization Process for Cu Dual-Damascene Through-Silicon Via Using Noncontact Electrode Pad, Japanese Journal of Applied Physics, Vol.49, No.5, 05FG01-05FG01-5, 2010.
    42. Chao Chang A. Chen, Chi Hsiang Hsieh, Manufacturing Analysis of Hybrid Energy Manufacturing Processes and Application to Copper Chemical Mechanical Planarization/Polishing Process, accepted to be presented in Proc. of 44th. CIRP International Conference on Manufacturing Systems, Madison, USA, 2011.
    43. Shan Gao, Dim-Lee Kwong, 3DIC Integration with TSV – Current Progress and Future Outlook, SEMICON Taiwan, 2010.
    44. J. Van Olmen, J. Coenen, W. Dehaene, K. De Meyer, C. Huyghebaert, A. Jourdain, Guruprasad Katti, A. Mercha, M. Rakowski, M. Stucchi, Y. Travaly, E. Beyne, B. Swinnen, 3D Stacked IC demonstrator using Hybrid Collective Die-to-Wafer Bonding with copper Through Silicon Vias (TSV), 3D TSV Intergration, IEEE, 1-5, 2009.
    45. Vempati Srinivasa Rao, Ho Soon Wee, Lee Wen Sheng Vincent, Li Hong Yu, Liao Ebin, Ranganathan Nagarajan, Chai Tai Chong, Xiaowu Zhang, Pinjala Damaruganath, TSV Interposer Fabrication for 3D IC Packaging, IEEE, 431-437, 2009.
    46. Dean Malta, Christopher Gregory, Dorota Temple, Trevor Knutson, Chen Wang, Thomas Richardson, Yun Zhang, Robert Rhoades, Integrated process for defect-free copper plating and chemical-mechanical polishing of through-silicon vias for 3D interconnects, Proceeding of Electronic Components and Technology Conference, IEEE, 1769-1775, 2010.
    47. Cook Lee Melbourne, James David B., Roberts John V.H., Polishing Pad for Electrochemical Mechanical Polishing, R.O.C patent, I314496, 2005.
    48. M. Basol, Bulent M., Talieh Homayoun, Electrochemical Mechanical Planarization Process and Apparatus, R.O.C patent, 200540960, 2005.
    49. Brusic Vlasta, Cahan Boris D., ECMP System, R.O.C patent, 200604389, 2006.
    50. Ameen Joseph G., James David B., Polishing Pad for Electrochemical Mechanical Polishing, R.O.C patent, 200603946, 2006.
    51. Wylie Ian W., Anjur Sriram P., Electrochemical-Mechanical Polishing System, R.O.C patent, I279287, 2007.
    52. Lee, Whonchee, Methods and Apparatuses for Electrochemical Mechanical Polishing, R.O.C patent, I286959, 2007.
    53. Tominaga Shigeru, Kondo Seiichi, Abe Daisuke, Kunisaki, Syuji, Polishing Pad for Device Wafer, R.O.C patent, 200801253, 2008.
    54. Paul D. Butterfield, Liang-Yuh Chen, Yongqi Hu, Antoine P. Manens, Rashid Mavliev, Stan D. Tsai, Feng Q. Liu, Ralph Wadensweiler, Conductive Polishing Article for Electrochemical Mechanical Polishing, R.O.C patent, I300026, 2008.
    55. So Joseph K., Conductive Polishing Pad with Anode and Cathode, R.O.C patent, I310718, 2009.
    56. Hu Yongqi, Tsai Stan D., Wang Yan, Liu Feng Q., Chang Shou Sung, Chen Liang Yuh, Pad Assembly for Electrochemical Mechanical Processing, R.O.C patent, I335250, 2011.
    57. A . Manz, N. Graber, H. M. Widmer, Miniaturized total chemical analysis systems: a novel concept for chemical sensing, Sens Actuator B , B1:244-248, 1990.
    58. Michael Pycraft Hughes, Nanoelectromechanics in engineering and biology, CRC Press, 2003.
    59. 吳浩青,李永舫, 電化學動力學, 科技圖書, 2001.
    60. Kemal DOYMUS, The effect of ionic electrolytes and pH on the zeta potential of fine coal particles, Turkish journal of chemistry, Vol.31, 589-597, 2007.
    61. Daniel Ernest Garcia, Chih-Ming Ho, Spatial redistribution of nano particles using electrokinetic micro-focuser, Proc. of SPIE, Vol.6648, 66480V, 2007.
    62. Chehung Wei, Chi-Hsiang Hsieh, You-Zong Shih, The Size and Shape effects on the 2D AC Electroosmosis Concentration Chip, International Symposium on Nano-Biomedical Engineering, Tainan, Taiwan, 2009.
    63. 胡啟章, 電化學原理與方法, 五南圖書, 2007.
    64. 肖紀美, 曹楚南, 材料腐蝕學原理, 化學工業出版社, 2004.
    65. 柯賢文, 腐蝕及其防制,全華圖書, 2008.
    66. Chao Chang A. Chen, Handout of manufacturing analysis, Department of mechanical engineering, NTUST, 2010.
    67. Subramanian Tamilmani, Wayne Huang, Srini Raghavan, Robert Small, Potential-pH Diagrams of Interest to Chemical Mechanical Planarization of Copper, Journal of The Electrochemical Society, Vol.149, No.12, G638-G642, 2002.

    QR CODE