簡易檢索 / 詳目顯示

研究生: 吳韋辰
Wei-Chen Wu
論文名稱: 投影式微影之光源模態最佳化研究
Source Shape Optimization Used in Projection Optical Lithography
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 郭永麟
Yong-Lin Kuo
徐勝均
Sheng-Dong Xu
李貫銘
Kuan-Ming Li
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2014
畢業學年度: 102
語文別: 中文
論文頁數: 98
中文關鍵詞: 遠紫外光微影浸潤式微影光源光罩最佳化蟻群最佳化演算法粒子群最佳化演算法製程視窗潛像解析度
外文關鍵詞: Extreme ultraviolet lithography, immersion lithography, source mask optimization, ant colony optimization, particle swarm optimization, process window, aerial image, resolution
相關次數: 點閱:532下載:33
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 微影製程有兩項重要指標,一能將光罩圖案潛像(Aerial Image)正確的轉移至光阻基材上,二提供足夠製程視窗(Process Window)以供應穩定的製程良率。本研究目的在針對投影式微影系統開發光源模態最佳化(Source Shape Optimization)方法,對不同線路圖案光罩以模型式光學鄰近修正做處理,提供對應修正後圖案專屬的曝光光源模態,使原有的微影系統提升其曝光解析度(Resolution)能力及製程容許度(Process Latitude)。並以曝光光源波長13.5nm數值孔徑0.25遠紫外光(Extreme Ultraviolet,EUV)微影系統及曝光光源波長193nm數值孔徑1.35浸潤式(Immersion)微影系統為例測試所開發之演算法。優於現有以梯度為主的模態最佳化方法,本研究在光源模態最佳化技術上不需初始光源模態且不受線路圖案的限制,基於像素方式做組合可使光源模態有足夠的變化性提供最大的自由度。為因應最佳化問題複雜度提升,本文在組合最佳化光源模態像素時採用啟發式概念的蟻群最佳化(Ant Colony Optimization,ACO)及粒子群最佳化兩(Particle Swarm Optimization,PSO)種演算法,透過仿生物群聚的行為分別對光源像素位置探討順序做規劃,當增加的光源像素位置使曝光效果提升而保留,使曝光效果變差則取消,演算法將產生一像素位置探討順序可組合出最佳化光源模態,增強微影系統的曝光效能。


    The purpose of this study is to investigate the pixel-based source mask optimization (SMO) algorithm for a projection optical lithography system. SMO algorithm in this research uses model-based optical proximity correction (OPC) to modify mask layout patterns and provides the optimized source shape for the layout patterns. Two significant metrics are used to evaluate the modified source performance after circulating the optimization steps: the aerial image (AI) intensity and process window (PW). The pixel-based SMO algorithm in this research is superior to the conventional gradient-based SMO algorithm limited by the initial source shape and circuit pattern layout. We realize full free form source shapes using a heuristic ant colony optimization (ACO) and a particle swarm optimization (PSO) methods to update each pixel source on the pupil plane. The updating criterion is to verify whether AI intensity of each pixel source can reach the nominal threshold value. Two lithography systems are used for the study of the developed optimization algorithm in this thesis: extreme ultraviolet lithography system (NA=0.25 wavelength= 13.5nm) and immersion lithography system (NA=1.35 wavelength= 193nm). The results indicate the enhanced aerial image quality and the improved depth of focus after applying the developed SMO algorithm.

    致謝 I 中文摘要 II Abstract III 目錄 IV 圖目錄 VI 表目錄 IX 第一章 緒論 1 1.1 前言 1 1.2 研究動機 4 1.3 論文架構 5 第二章 光源最佳化 6 2.1 微影成像品質參數計算 6 2.2 PROLITH與MATLAB之連結 9 2.3 像素光源位置對應之潛像誤差 13 2.4 光源與光罩最佳化 15 2.5 結論 20 第三章 蟻群演算法 21 3.1 蟻群演算法原理 21 3.2 蟻群演算法應用於光源模態最佳化 23 3.3 特殊光罩圖案製程視窗DOF與EL最佳化 28 3.4 結論 59 第四章 粒子群演算法 60 4.1 粒子群演算法原理 60 4.2 粒子群最佳化演算法在光罩光源最佳化之應用 61 4.3 特殊光罩圖案製程視窗DOF與EL最佳化 66 4.4 結論 76 第五章 結論 77 5.1 演算法結果比較 77 5.2 本研究之貢獻 78 5.3 未來發展方向 78 參考文獻 79

    [1] G. Pauzenberger, T. Uhrmann, M. Wimplinger, T. Matthias, K. Su, and T. TseMin, "Thin wafer handling and chip to wafer stacking technologies," Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), 2013 8th International, pp. 59-62, 2013.
    [2] D. Z. Pan, S. Renwick, V. Singh, and J. Huckabay, "Nanolithography and CAD challenges for 32nm/22nm and beyond," IEEE/ACM International Conference on Computer-Aided Design, 2008. ICCAD 2008., pp. 7-7, 2008.
    [3] K. Agarwal and S. Banerjee, "Design driven patterning optimizations for low K1 lithography," 2012 IEEE International Conference on IC Design & Technology (ICICDT), pp. 1-4, 2012.
    [4] W. Endendijk, M. Mulder, and B. van Drieenhuizen, "Successful implementation of a MEMS micromirror array in a lithograpy illumination system," International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS & EUROSENSORS XXVII), 2013 Transducers & Eurosensors XXVII: The 17th., pp. 2564-2567, 2013.
    [5] S. Owa, K. Nakano, H. Nagasaka, T. Fujiwara, T. Matsuyama, Y. Ohmura, et al., "Immersion lithography ready for 45 nm manufacturing and beyond," Advanced Semiconductor Manufacturing Conference, 2007. ASMC 2007. IEEE/SEMI, pp. 238-244, 2007.
    [6] L. Wilson, "International Technology Roadmap for Semiconductors (ITRS)," 2013.
    [7] M. C. Smayling and V. Axelrad, "32nm and below logic patterning using optimized illumination and double patterning," SPIE Advanced Lithography,vol. 7274, pp. 72740K-1-72740K-8, 2009.
    [8] R. S. Ghaida, K. B. Agarwal, L. W. Liebmann, S. R. Nassif, and P. Gupta, "A novel methodology for triple/multiple-patterning layout decomposition,"Proc. SPIE, vol.8327, pp. 83270M-1-83270M-8, 2012.
    [9] A. J. Strojwas, "Cost effective scaling to 22nm and below technology nodes," 2011 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp. 1-2, 2011.
    [10] R. S. Ghaida, T. Sahu, P. Kulkarni, and P. Gupta, "A methodology for the early exploration of design rules for multiple-patterning technologies," Proceedings of the International Conference on Computer-Aided Design, pp. 50-56, 2012.
    [11] R. S. Ghaida and P. Gupta, "Role of design in multiple patterning: technology development, design enablement and process control," Proceedings of the Conference on Design, Automation and Test in Europe, pp. 314-319, 2013.
    [12] R. S. Ghaida and P. Gupta, "Within-layer overlay impact for design in metal double patterning," IEEE Transactions on Semiconductor Manufacturing, vol. 23, no. 3, pp. 381-390, 2010.
    [13] M. Mirsaeedi and M. Anis, "Overlay-aware interconnect yield modeling in double patterning lithography," 2010 IEEE International Conference on IC Design and Technology (ICICDT),pp. 138-141, 2010.
    [14] R. S. Ghaida, G. Torres, and P. Gupta, "Single-mask double-patterning lithography for reduced cost and improved overlay control," IEEE Transactions on Semiconductor Manufacturing, vol. 24, no. 1, pp. 93-103, 2011.
    [15] M. C. Smayling, K. Tsujita, H. Yaegashi, V. Axelrad, T. Arai, K. Oyama, et al., "Sub-12nm optical lithography with 4x pitch division and SMO-lite," SPIE Advanced Lithography, vol. 8683, pp. 868305-1-868305-8, 2013.
    [16] Y. Ekinci, M. Vockenhuber, N. Mojarad, and D. Fan, "EUV resists towards 11nm half-pitch," SPIE Advanced Lithography, vol. 9048, pp. 904804-1-904804-10, 2014.
    [17] T. F. Crimmins, "Defect metrology challenges at the 11-nm node and beyond," SPIE Advanced Lithography, vol. 7638, pp. 76380H-1-76380H-12, 2010.
    [18] K. Kemp and S. Wurm, "EUV lithography," Comptes Rendus Physique, pp. 875-886, 2006.
    [19] T. Vandeweyer, J. De Backer, J. Versluijs, V. Truffert, S. Verhaegen, M. Ercken, et al., "Patterning challenges in setting up a 16nm node 6T-SRAM device using EUV lithography," SPIE Advanced Lithography, vol. 7696 pp. 79691K-1-79691K-12, 2011.
    [20] N. R. Farrar, I. Lalovic, D. Brandt, and D. Brown, "Lithography light source challenges for Double Patterning and EUVL," 25th European Mask and Lithography Conference, pp. 74700D-1-74700D-8, 2009.
    [21] U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, et al., "High-power EUV lithography sources based on gas discharges and laser-produced plasmas," Microlithography 2003, vol. 5037, pp. 119-129, 2003.
    [22] U. Stamm, J. Kleinschmidt, K. Gaebel, H. Birner, I. Ahmad, D. Bolshukhin, et al., "EUV source power and lifetime: the most critical issues for EUV lithography," Microlithography 2004, vol. 5374, pp. 133-144, 2004.
    [23] B. La Fontaine, Y. Deng, R.-h. Kim, H. J. Levinson, U. Okoroanyanwu, R. Sandberg, et al., "Extreme ultraviolet lithography: From research to manufacturing," Journal of Vacuum Science & Technology B, vol. 25, no. 6, pp. 2089-2093, 2007.
    [24] A. Mallik, N. Horiguchi, J. Bommels, A. Thean, K. Barla, G. Vandenberghe, et al., "The economic impact of EUV lithography on critical process modules," SPIE Advanced Lithography, vol. 9048, pp. 90481R-1-90481R-12, 2014.
    [25] S. Hsu, L. Chen, Z. Li, S. Park, K. Gronlund, H.-Y. Liu, et al., "An innovative Source-Mask co-Optimization (SMO) method for extending low k1 imaging," SPIE Lithography Asia-Taiwan, vol. 7140, pp. 714010-1-714010-10, 2008.
    [26] T. Matsuyama, T. Nakashima, and T. Noda, "A study of source and mask optimization for ArF scanners," SPIE Advanced Lithography, vol. 7274, pp. 727408-1-727408-8, 2009.
    [27] R. Socha, T. Jhaveri, M. Dusa, X. Liu, L. Chen, S. Hsu, et al., "Design compliant source mask optimization (SMO)," Photomask and NGL Mask Technology XVII, vol. 7748, pp. 77480T-1-77480T-12, 2010.
    [28] V. Tolani, P. Hu, D. Peng, T. Cecil, R. Sinn, L. Pang, et al., "Source-mask co-optimization (SMO) using level set methods," SPIE Photomask Technology, vol. 7488, pp. 74880Y-1-74880Y-11, 2009.
    [29] T. Matsuyama, N. Kita, T. Nakashima, O. Tanitsu, and S. Owa, "Tolerancing analysis of customized illumination for practical applications of source and mask optimization," SPIE Advanced Lithography, vol. 7640 pp. 764007-1-764007-10, 2010.
    [30] G. Xiao, T. Cecil, L. Pang, B. Gleason, and J. McCarty, "Source optimization and mask design to minimize MEEF in low k1 lithography," Photomask and NGL Mask Technology XV, vol. 7028, pp. 70280T-70280T-11, 2008.
    [31] S. Mori, H. Aoyama, T. Ogata, R. Matsui, and T. Matsuyama, "Imaging Application tools for extremely low-k1 ArF immersion lithography," SPIE Advanced lithography, vol. 8683, pp. 86830A-1-86830A-9, 2013.
    [32] S. Banerjee, K. B. Agarwal, S. R. Nassif, J. A. Culp, L. W. Liebmann, and M. Orshansky, "Coupling timing objectives with optical proximity correction for improved timing yield," 2011 12th International Symposium on Quality Electronic Design (ISQED),pp. 1-6, 2011.
    [33] T. Fuhner, A. Erdmann, and P. Evanschitzky, "Simulation-based EUV source and mask optimization," Photomask Technology, vol. 7122, pp. 71221Y-1-71221Y-14, 2008.
    [34] Y. Kono, Y. Kai, K. Masukawa, S. Tamaoki, T. Hashimoto, T. Kimura, et al., "Source and mask optimization to mitigate hotspots in etch process," SPIE Advanced Lithography, vol. 8683, pp. 86830N-1-86830N-8, 2013.
    [35] D. Melville, A. E. Rosenbluth, K. Tian, K. Lai, S. Bagheri, J. Tirapu-Azpiroz, et al., "Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations," SPIE Advanced Lithography, vol. 7640, pp. 764006-1-764006-18, 2010.
    [36] A. Poonawala, W. Stanton, and C. Sawh, "Source mask optimization for advanced lithography nodes," SPIE Advanced Lithography, vol. 7640, pp. 76401M-1-76401M-10, 2010.
    [37] T. Huang, C.-Y. Huang, T.-B. Chiou, M. Hsu, C.-L. Shih, A. Chen, et al., "Improvement of lithography process by using a FlexRay illuminator for memory applications," SPIE Advanced Lithography, vol. 7973, pp. 79731X-1-79731X-11, 2011.
    [38] Y. Peng, J. Zhang, Y. Wang, and Z. Yu, "High performance source optimization using a gradient-based method in optical lithography," 2010 11th International Symposium on Quality Electronic Design (ISQED), pp. 108-113, 2010.
    [39] Y. Deng, Y. Zou, K. Yoshimoto, Y. Ma, C. E. Tabery, J. Kye, et al., "Considerations in source-mask optimization for logic applications," SPIE Advanced Lithography, vol. 7640, pp. 76401J-1-76401J-12, 2010.
    [40] S. Nagahara, K. Yoshimochi, H. Yamazaki, K. Takeda, T. Uchiyama, S. Hsu, et al., "SMO for 28-nm logic device and beyond: impact of source and mask complexity on lithography performance," SPIE Advanced Lithography, vol. 7640, pp. 76401H-1-76401H-12, 2010.
    [41] S. Nagahara, K. Kawahara, H. Yamazaki, A. Ando, M. Naganuma, K. Yoshimochi, et al., "SMO mask requirements for low k1 lithography," SPIE Photomask Technology, vol. 7823, pp. 782310-1-782310-12, 2010.
    [42] S. Banerjee, K. B. Agarwal, and M. Orshansky, "Methods for joint optimization of mask and design targets for improving lithographic process window," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 12, no. 2, pp. 023014-023014, 2013.
    [43] S. Kapasi, S. Robertson, J. Biafore, and M. D. Smith, "Source-mask selection using computational lithography incorporating physical resist models," SPIE Advanced Lithography, vol. 7275, pp. 72750W-1-72750W-10, 2009.
    [44] C. Wagner, J. Bacelar, N. Harned, E. Loopstra, S. Hendriks, I. De Jong, et al., "EUV lithography at chipmakers has started: performance validation of ASML's NXE: 3100," SPIE Advanced Lithography, vol. 7969, pp. 79691F-1-79691F-12, 2011.
    [45] S. Miller, "ASML’s NXE platform for volume production," SemiconWest, July, 2013.
    [46] S.-J. Chang, C. C.-P. Chen, and L. S. Melvin III, "Abbe-PCA-SMO: microlithography source and mask optimization based on Abbe-PCA," SPIE Lithography Asia, vol. 7520, pp. 75202G-1-75202G-6, 2009.
    [47] P. Yu and D. Z. Pan, "A novel intensity based optical proximity correction algorithm with speedup in lithography simulation," IEEE/ACM International Conference on Computer-Aided Design 2007. ICCAD 2007., pp. 854-859, 2007.
    [48] P. Yu and D. Z. Pan, "TIP-OPC: a new topological invariant paradigm for pixel based optical proximity correction," Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, pp. 847-853, 2007.
    [49] J. Mitra, P. Yu, and D. Z. Pan, "RADAR: RET-aware detailed routing using fast lithography simulations," Design Automation Conference, 2005. Proceedings. 42nd, pp. 369-372, 2005.
    [50] R. Schenker, W.-h. Cheng, and G. Allen, "The MEEF NILS divergence for low k1 lithography," 27th Annual BACUS Symposium on Photomask Technology, vol. 6730, pp. 67301M-1-67301M-9, 2007.
    [51] C. Mack, Field guide to optical lithography vol. 6: SPIE Press Bellingham, Washington, USA, 2006.
    [52] C. Mack, Fundamental principles of optical lithography: the science of microfabrication: John Wiley & Sons, 2008.
    [53] 龍文安, 半導體奈米技術: 五南圖書出版股份有限公司, 2006.
    [54] A. K.-K. Wong, Resolution enhancement techniques in optical lithography vol. 47: SPIE press, 2001.
    [55] R. Socha, X. Shi, and D. LeHoty, "Simultaneous source mask optimization (SMO)," Photomask and Next Generation Lithography Mask Technology XII, pp. 180-193, 2005.
    [56] K. Yamazoe, Y. Sekine, and T. Honda, "Aerial image back propagation with two-dimensional transmission cross coefficient," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 8, no. 3, pp. 031406-031406-7, 2009.
    [57] M. Burkhardt, A. Yen, C. Progler, and G. Wells, "Illuminator design for the printing of regular contact patterns," Microelectronic Engineering, vol. 41-42, pp. 91-95, 1998.
    [58] E. Barouch, S. L. Knodle, S. A. Orszag, and M. S. Yeung, "Illuminator optimization for projection printing," Proc. SPIE, vol. 3697, pp. 697-703, 1999.
    [59] H.-b. Jiang, T.-w. Xing, M. Du, and A. Chen, "Illumination optimization in optical projective lithography," ISPDI 2013-Fifth International Symposium on Photoelectronic Detection and Imaging, vol. 8911, pp. 89110R-1-89110R-11, 2013.
    [60] N. Jia and E. Y. Lam, "Performance analysis of pixelated source-mask optimization for optical microlithography," 2010 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC), pp. 1-4, 2010.
    [61] J. L. Sturtevant, L. Hong, S. Jayaram, S. P. Renwick, M. McCallum, and P. De Bisschop, "Impact of illumination source symmetrization in OPC," Photomask and NGL Mask Technology XV, vol. 7028, pp. 70283M-1-70283M-10, 2008.
    [62] S.-H. Yang, N. Jia, S. Shim, D. Vengertsev, J. Choi, H.-K. Kang, et al., "The effect of mask and source complexity on source mask optimization," Proc. SPIE, vol. 8683, pp. 86830C-1-86830C-9, 2013.
    [63] J.-C. Yu and P. Yu, "Gradient-based fast source mask optimization (SMO)," SPIE Advanced Lithography, vol. 7973, pp. 797320-1-797320-13, 2011.
    [64] P. Yao, Z. Jinyu, W. Yan, and Y. Zhiping, "Gradient-Based Source and Mask Optimization in Optical Lithography," IEEE Transactions on Image Processing, vol. 20, no. 10, pp. 2856-2864, 2011.
    [65] S. Li, X. Wang, and Y. Bu, "Robust pixel-based source and mask optimization for inverse lithography," Optics & Laser Technology, vol. 45, pp. 285-293, 2013.
    [66] X. Ma and G. R. Arce, "Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography," Optics express, vol. 17, no. 7, pp. 5783-5793, 2009.
    [67] T. Fuehner, A. Erdmann, and T. Schnattinger, "Genetic algorithms for geometry optimization in lithographic imaging systems," Optical Science and Technology, the SPIE 49th Annual Meeting, vol. 5558, pp. 29-40, 2004.
    [68] T. Fuhner, A. Erdmann, R. Farkas, B. Tollkuhn, and G. Kokai, "Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems," in Applications of Evolutionary Computing, ed: Springer, pp. 208-218, 2004.
    [69] T. Fuhner and A. Erdmann, "Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm," Proc. SPIE, vol. 5754, pp. 415-426, 2005.
    [70] C. Yang, X. Wang, S. Li, and A. Erdmann, "Source mask optimization using real-coded genetic algorithms," SPIE Advanced Lithography, vol. 8683, pp. 86831T-86831T-14, 2013.
    [71] M. Dorigo, V. Maniezzo, and A. Colorni, "Ant system: optimization by a colony of cooperating agents," IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics, vol. 26, no. 1, pp. 29-41, 1996.
    [72] R. A. Hanifah, S. F. Toha, and S. Ahmad, "PID-Ant Colony Optimization (ACO) control for Electric Power Assist Steering system for electric vehicle," 2013 IEEE International Conference on Smart Instrumentation, Measurement and Applications (ICSIMA),pp. 1-5, 2013.
    [73] M. M. Manjurul Islam, M. Waselul Hague Sadid, S. M. Mamun Ar Rashid, and M. J. Kabir, "An Implementation of ACO System for Solving NP-Complete Problem; TSP," International Conference on Electrical and Computer Engineering, 2006. ICECE '06., pp. 304-307, 2006.
    [74] Y. Ling and X. Peng, "An ACO-based algorithm for structural health monitoring," Prognostics and Health Management Conference, 2010. PHM '10., pp. 1-7, 2010.
    [75] H. Hsien-Kai, C. En-Jui, and W. An-Yeu, "Implementation of ACO-Based Selection with Backward-Ant Mechanism for Adaptive Routing in Network-on-Chip Systems," Embedded Systems Letters, IEEE, vol. 5, no. 3, pp. 46-49, 2013.
    [76] H. Nakamura, M. Omura, S. Yamashita, Y. Taniguchi, J. Abe, S. Tanaka, et al., "Ultralow k1 oxide contact hole formation and metal filling using resist contact hole pattern by double line and space formation method," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 7, no. 4 pp. 043001-1-043001-7, 2008.
    [77] K. Lee, C. Bok, J. Kim, H. Shim, J. Heo, J. Lee, et al., "Mesh patterning process for 40nm contact hole," SPIE Advanced Lithography, vol. 7639, pp. 76391S-1-76391S-8, 2010.
    [78] J. Bekaert, P. van Adrichem, R. Socha, O. Mouraille, J. Zimmermann, P. Graupner, et al., "Experimental verification of source-mask optimization and freeform illumination for 22-nm node static random access memory cells," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 10, no. 1, pp. 013008-1-013008-10, 2011.
    [79] J. Kennedy and R. Eberhart, "Particle swarm optimization," IEEE International Conference on Neural Networks, 1995. Proceedings., vol. 4, pp. 1942-1948, 1995.
    [80] Y. Jintao, Y. Bo, Z. Mingwu, and K. Yuyan, "PSO with Predatory Escaping Behavior and Its Application on Shortest Path Routing Problems,"2011 3rd International Workshop on Intelligent Systems and Applications (ISA), pp. 1-4, 2011.
    [81] L. Qiang, M. Jiachen, and Z. Qi, "PSO-based parameters optimization of multi-robot formation navigation in unknown environment," 2012 10th World Congress on Intelligent Control and Automation (WCICA), pp. 3571-3576, 2012.
    [82] X. Lu and X. Geng, "Car Sales Volume Prediction Based on Particle Swarm Optimization Algorithm and Support Vector Regression," 2011 International Conference on Intelligent Computation Technology and Automation (ICICTA), vol. 1, pp. 71-74, 2011.

    QR CODE