簡易檢索 / 詳目顯示

研究生: 陳柏皇
Po-Huang Chen
論文名稱: 基於子像素法辨識對準誤差標的
Image Based Overlay Measurement Using Sub-Pixel Technique
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 楊振雄
Zhen-Xiong Yang
郭俞麟
Yu-Lin Kuo
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2022
畢業學年度: 110
語文別: 中文
論文頁數: 82
中文關鍵詞: 子像素法影像式對準誤差對準誤差量測
外文關鍵詞: Sub-pixel Method, IBO, Overlay Measurement
相關次數: 點閱:157下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著科技近代之發展,為求在越加縮減面積的晶片上實現更強大的功能,除電路本身之微縮技術需逐步改進之外,將電路設計往立體化發展亦是新的發展思路。電路於立體化發展前,線路本身即已藉由大量的微影製程所構成,在立體化後此製程的重要性更會大幅提高,其中,微影製程本身極為強調對準之精準度,因若電路間之相對位置誤差過大,即有可能接觸不良或導電使該晶片電路設計無效而導致重工,避免上述情形發生所發展之技術稱為“對準誤差量測技術”,其中又分影像式與光學繞射式,本文專注於影像式之對準誤差。影像式之對準誤差已發展長久,有經典的三種對準符號:bar-in-bar(BiB),frame-in-frame(FiF),box-in-box,近年來較新之對準符號:Advanced Image Metrology (AIM),影像式對準誤差的量測原理為藉由邊緣檢測確認中心點在哪裡,再比對上下兩層中心點之誤差來算出對準誤差。在計算對準誤差時,邊緣檢測尤為關鍵,此檢測須同時仰賴硬體(ex.高解析度之CMOS)及軟體(ex.直線偵測演算法)。考量升級硬體所費不貲,以軟體來補強硬體之不足為一條可行的方法。本篇論文藉由在光罩上曝上三種型式之對準符號,並設計了不同偏移量,再以不同倍率之鏡頭下拍攝,影像處理後藉由子像素法測量偏移量,將測得偏移量與設計之偏移量比較兩者之量測誤差,以驗證此論文所選擇演算法是否能在較低倍率下量出足夠準確之結果。測試結果,在影像之像素尺寸為3.802(μm/pixel)時,最佳之量測誤差平均值為0.112 μm,像素尺寸為2.68時,最佳之量測誤差平均值為0.093,像素尺寸為1.352時,最佳之量測誤差平均值為0.062,像素尺寸為0.902時,最佳之量測誤差平均值為0.049,像素尺寸為0.678時,最佳之量測誤差平均值為0.045,像素尺寸為0.604時,最佳之量測誤差平均值為0.041。


    With the modern progressing of the science and technology, in order to build more powerful functions on chips with less area, besides the gradually improving circuit scaling technology, it is also a new method to design the circuit into 3D space. Before the 3D techniques has been researched, circuit was formed by a large times of repeating lithography processes. The importance of lithography will be greatly increased with the 3D design. Lithography process places great emphasis on the alignment accuracy, because if the relative position errors among circuits become too large, the circuits may become open or short to make the chip design invalid and lead to rework. To avoid the above situation, certain technology has been developed, which is called "alignment overlay measurement". This technology can be divided into two groups: Image-Based-Overlay(IBO) and Diffraction-Based-Overlay(DBO). This paper focuses on IBO. This kind of technique has been developed for a long time, and there are three classic overlay marks: bar-in-bar, frame-in-frame and box-in-box. In recent years, there is a new overlay mark called Advanced Image Metrology (AIM).The principle of IBO is to confirm where the center points are by edge detection, and then compare the difference of the center points between the current layer and the previous layers to get the overlay. IBO is particularly rely on edge detection, which is supported both by hardware (ex. high-resolution CMOS) and by software (ex. more accurate edge detection algorithms). Consider the high-cost of hardware upgrading, it is a feasible way to supplement the insufficiency of hardware with software. In this paper, three types of overlay marks are exposed on the mask with different designed overlays and shoot under different magnification lenses. After image processing, this paper measured the overlay by the sub-pixel method, and compared these measured overlays with the designed overlay to verify that whether the sub-pixel method can measure accurate enough results at lower magnifications or not. The final results are listed in the following statement, when pixel size is 3.802 μm/pixel, the best measured average error is 0.112 μm, when pixel size is 2.68, the best measured average error is 0.093, when pixel size is 1.352, the best measured average error is 0.062, when pixel size is 0.902, the best measured average error is 0.049, when pixel size is 0.678, the best measured average error is 0.045, when pixel size is 0.604, the best measured average error is 0.041。

    目錄 致謝 I 摘要 II ABSTRACT III 目錄 V 圖目錄 VII 表目錄 X 第一章 緒論 1 1.1 前言 1 1.2 文獻探討 2 1.3 研究動機 7 1.4 論文架構 7 第二章 對準誤差 9 2.1 簡介 9 2.2 對準誤差種類 10 2.3 基於影像之對準誤差量測 16 2.4 量測系統與矯正程序 19 2.5 小結 24 第三章 對準誤差符號影像辨識 25 3.1 簡介 25 3.2 子像素法 26 3.3 去雜訊與對比度增強 35 3.4 影像擷取分析 42 3.5 小結 43 第四章 基於對準誤差符號影像之量測 45 4.1 簡介 45 4.2 對準誤差符號設計 45 4.3 量測位置分析 46 4.4 準確度分析 54 4.5 小結 61 第五章 結論 62 5.1 結果討論 62 5.2 研究貢獻 62 5.3 未來方向 62 參考文獻 63

    [1] L. Xiu, "Time Moore: Exploiting Moore's Law from the perspective of time," IEEE Solid-State Circuits Magazine, vol. 11, no. 1, pp. 39-55, 2019.
    [2] D. Pargman, A. Biørn-Hansen, E. Eriksson, J. Laaksolahti, and M. Robèrt, "From moore's law to the carbon law," in Proceedings of the 7th International Conference on ICT for Sustainability, 2020, pp. 285-293.
    [3] M.-Y. Li, S.-K. Su, H.-S. P. Wong, and L.-J. Li, "How 2D semiconductors could extend Moore’s law," ed: Nature Publishing Group, 2019.
    [4] P. Ye, T. Ernst, and M. V. Khare, "The last silicon transistor: Nanosheet devices could be the final evolutionary step for Moore's Law," IEEE spectrum, vol. 56, no. 8, pp. 30-35, 2019.
    [5] S. K. Moore, "Another step toward the end of Moore's law: Samsung and TSMC move to 5-nanometer manufacturing-[News]," IEEE Spectrum, vol. 56, no. 6, pp. 9-10, 2019.
    [6] S. Shafique, "A Simulation Approach to Semiconductor Scheduling Problem in Micro-Lithographic Process," International Journal of New Practices in Management and Engineering, vol. 11, no. 1S, pp. 16-17, 2022.
    [7] J. D. Martin and C. Mody, Between Making and Knowing: Tools in the History of Materials Research. World Scientific, 2020.
    [8] H. Shamkhalichenar, C. J. Bueche, and J.-W. Choi, "Printed circuit board (PCB) technology for electrochemical sensors and sensing platforms," Biosensors, vol. 10, no. 11, p. 159, 2020.
    [9] X. Zhang, "An Introduction to Lithography Machine," in 2021 6th International Conference on Modern Management and Education Technology (MMET 2021), 2021: Atlantis Press, pp. 49-53.
    [10] P. Naulleau, Optical lithography. eScholarship, University of California, 2019.
    [11] A. Yen, "Rayleigh or Abbe? Origin and naming of the resolution formula of microlithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 19, no. 4, p. 040501, 2020.
    [12] T. Latychevskaia, "Lateral and axial resolution criteria in incoherent and coherent optics and holography, near-and far-field regimes," Applied Optics, vol. 58, no. 13, pp. 3597-3603, 2019.
    [13] J. Finders et al., "Experimental investigation of a high-k reticle absorber system for EUV lithography," in Extreme Ultraviolet (EUV) Lithography X, 2019, vol. 10957: SPIE, pp. 268-276.
    [14] J. Van Schoot et al., "High-NA EUV lithography exposure tool: advantages and program progress," in Extreme Ultraviolet Lithography 2020, 2021, vol. 11517: SPIE, pp. 76-89.
    [15] E. van Setten et al., "High NA EUV lithography: Next step in EUV imaging," in Extreme Ultraviolet (EUV) Lithography X, 2019, vol. 10957: SPIE, pp. 9-18.
    [16] S. Wurm et al., "EUV lithography," in Microlithography: CRC Press, 2020, pp. 163-246.
    [17] H. Hillmer et al., "Role of nanoimprint lithography for strongly miniaturized optical spectrometers," Nanomaterials, vol. 11, no. 1, p. 164, 2021.
    [18] B. Fay, "Advanced optical lithography development, from UV to EUV," Microelectronic Engineering, vol. 61, pp. 11-24, 2002.
    [19] K. Ronse, "Optical lithography—a historical perspective," Comptes Rendus Physique, vol. 7, no. 8, pp. 844-857, 2006.
    [20] D. Bratton, D. Yang, J. Dai, and C. K. Ober, "Recent progress in high resolution lithography," Polymers for advanced technologies, vol. 17, no. 2, pp. 94-103, 2006.
    [21] S. Owa and H. Nagasaka, "Immersion lithography: its potential performance and issues," in Optical Microlithography XVI, 2003, vol. 5040: SPIE, pp. 724-733.
    [22] D. G. Flagello and S. P. Renwick, "Evolving optical lithography without EUV," in Optical Microlithography Xxviii, 2015, vol. 9426: SPIE, pp. 11-20.
    [23] K.-I. Mori, A. Shigenobu, J. Motojima, and H. Suda, "A study on stepper's performance enhancements," in Optical Microlithography XXXII, 2019, vol. 10961: SPIE, pp. 108-118.
    [24] S. Okazaki, "High resolution optical lithography or high throughput electron beam lithography: The technical struggle from the micro to the nano-fabrication evolution," Microelectronic Engineering, vol. 133, pp. 23-35, 2015.
    [25] A. Erdmann and M. Shibuya, "Introduction to the special issue on optical lithography," Advanced Optical Technologies, vol. 4, no. 4, pp. 251-252, 2015.
    [26] H. J. Levinson, "Lithography Equipment: What is out there and what is Coming," in An Introduction to Biological and Artificial Neural Networks for Pattern Recognition, 2017, vol. 10320: SPIE, pp. 4-23.
    [27] G.-H. Lee et al., "Electron tunneling through atomically flat and ultrathin hexagonal boron nitride," Applied physics letters, vol. 99, no. 24, p. 243114, 2011.
    [28] P. Zhang, Á. Valfells, L. Ang, J. Luginsland, and Y. Lau, "100 years of the physics of diodes," Applied Physics Reviews, vol. 4, no. 1, p. 011304, 2017.
    [29] D. Mallik et al., "Advanced Package Technologies for High-Performance Systems," Intel Technology Journal, vol. 9, no. 4, 2005.
    [30] J. Webb, R. McCleary, G. Lopez, and Q. Tan, "Comparison of measured and modeled lithographic process capabilities for 2.5 D and 3D applications using a step and repeat Camera," in International Symposium on Microelectronics, 2014, vol. 2014, no. 1: International Microelectronics Assembly and Packaging Society, pp. 000178-000183.
    [31] T. Uhrmann et al., "Optimization of PI & PBO Layers Lithography Process for High Density Fan-Out Wafer Level Packaging & Next Generation Heterogeneous Integration Applications Employing Digitally Driven Maskless Lithography," in 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 2022: IEEE, pp. 1500-1504.
    [32] W. Flack et al., "Large area interposer lithography," in 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), 2014: IEEE, pp. 26-32.
    [33] A. Lancaster and M. Keswani, "Integrated circuit packaging review with an emphasis on 3D packaging," Integration, vol. 60, pp. 204-212, 2018.
    [34] M. J. Blondin, J. Sanchis Sáez, and P. M. Pardalos, "Control engineering from classical to intelligent control theory—An overview," Computational Intelligence and Optimization Methods for Control Engineering, pp. 1-30, 2019.
    [35] A. Starikov, "Design rules for real patterns," in Proc. Electronic Design Processes Workshop, 2003: Citeseer, pp. 46-73.
    [36] J. A. Allgair et al., "Applications of image diagnostics to metrology quality assurance and process control," in Design and Process Integration for Microelectronic Manufacturing, 2003, vol. 5042: SPIE, pp. 251-277.
    [37] F. Weisbuch, J. Schatz, and M. Ruhm, "Characterizing interlayer edge placement with SEM contours," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 18, no. 2, p. 021203, 2019.
    [38] D. Mas, J. Espinosa, A. B. Roig, B. Ferrer, and J. Perez, "Image based subpixel techniques for movement and vibration tracking," in Proceedings of the 11th European conference on non-destructive testing (ECNDT 2014), Prague, Czech Republic, 2014, vol. 6.
    [39] M. Debella-Gilo and A. Kääb, "Sub-pixel precision image matching for measuring surface displacements on mass movements using normalized cross-correlation," Remote Sensing of Environment, vol. 115, no. 1, pp. 130-142, 2011.
    [40] S. A. Stankevich, S. V. Shklyar, and V. M. Tyagur, "Subpixel resolution satellite imaging technique," in The International Conference on Digital Technologies 2013, 2013: IEEE, pp. 55-58.
    [41] A.-M. Rosu, M. Pierrot-Deseilligny, A. Delorme, R. Binet, and Y. Klinger, "Measurement of ground displacement from optical satellite image correlation using the free open-source software MicMac," ISPRS Journal of Photogrammetry and Remote Sensing, vol. 100, pp. 48-59, 2015.
    [42] Z. Mitraka, N. Chrysoulakis, Y. Kamarianakis, P. Partsinevelos, and A. Tsouchlaraki, "Improving the estimation of urban surface emissivity based on sub-pixel classification of high resolution satellite imagery," Remote Sensing of Environment, vol. 117, pp. 125-134, 2012.
    [43] E. Sánchez-García, Á. Balaguer-Beser, J. Almonacid-Caballer, and J. E. Pardo-Pascual, "A new adaptive image interpolation method to define the shoreline at sub-pixel level," Remote sensing, vol. 11, no. 16, p. 1880, 2019.
    [44] Y. Song, F. Liu, F. Ling, and L. Yue, "Automatic semi-global artificial shoreline subpixel localization algorithm for Landsat imagery," Remote Sensing, vol. 11, no. 15, p. 1779, 2019.
    [45] J. E. Pardo-Pascual, J. Almonacid-Caballer, L. A. Ruiz, and J. Palomar-Vázquez, "Automatic extraction of shorelines from Landsat TM and ETM+ multi-temporal images with subpixel precision," Remote Sensing of Environment, vol. 123, pp. 1-11, 2012.
    [46] F. Da and H. Zhang, "Sub-pixel edge detection based on an improved moment," Image and Vision Computing, vol. 28, no. 12, pp. 1645-1658, 2010.
    [47] Q. Sun, Y. Hou, Q. Tan, C. Li, and M. Liu, "A robust edge detection method with sub-pixel accuracy," Optik, vol. 125, no. 14, pp. 3449-3453, 2014.
    [48] B. Liang, M. Dong, J. Wang, and B. Yan, "Sub-pixel location of center of target based on Zernike moment," in Sixth International Symposium on Precision Engineering Measurements and Instrumentation, 2010, vol. 7544: SPIE, pp. 830-835.
    [49] S. Yang, Y. Wang, and H. Guo, "Sub-pixel measurement system of circle outer diameter based on Zernike moment," in International Conference on Artificial Intelligence and Engineering Applications, 2017, pp. 894-903.
    [50] A. Trujillo-Pino, K. Krissian, M. Alemán-Flores, and D. Santana-Cedrés, "Accurate subpixel edge location based on partial area effect," Image and Vision Computing, vol. 31, no. 1, pp. 72-90, 2013.
    [51] P. Leray, M. Mao, B. Baudemprez, and N. Amir, "Overlay metrology solutions in a triple patterning scheme," in Metrology, Inspection, and Process Control for Microlithography XXIX, 2015, vol. 9424: SPIE, pp. 109-116.
    [52] C.-M. Ke, G.-T. Huang, J. Huang, and R. Lee, "Accuracy of diffraction-based and image-based overlay," in Metrology, Inspection, and Process Control for Microlithography XXV, 2011, vol. 7971: SPIE, pp. 439-446.
    [53] J. Xu et al., "Diffraction-based and image-based overlay evaluation for advanced technology node," in 2017 China Semiconductor Technology International Conference (CSTIC), 2017: IEEE, pp. 1-4.
    [54] P. Leray, D. Laidler, and S. Cheng, "Overlay accuracy with respect to device scaling," in Metrology, Inspection, and Process Control for Microlithography XXVI, 2012, vol. 8324: SPIE, pp. 104-110.
    [55] N.-N. Zhang, M.-H. Shen, and Y.-S. Lin, "Image-based overlay mark shrinkage study for advanced technology node," in 2016 China Semiconductor Technology International Conference (CSTIC), 2016: IEEE, pp. 1-3.
    [56] M. S. Tamer, M. van der Lans, and H. Sadeghian, "Image-based overlay measurement using subsurface ultrasonic resonance force microscopy," in Metrology, Inspection, and Process Control for Microlithography XXXII, 2018, vol. 10585: SPIE, pp. 133-139.
    [57] J. Ma, X. Fan, J. Ni, X. Zhu, and C. Xiong, "Multi-scale retinex with color restoration image enhancement based on Gaussian filtering and guided filtering," International Journal of Modern Physics B, vol. 31, no. 16-19, p. 1744077, 2017.
    [58] C.-H. Lee, C.-C. Lien, and C.-C. Han, "Color image enhancement using multiscale retinex and image fusion techniques," International Journal of Computer and Information Engineering, vol. 8, no. 10, pp. 1796-1802, 2014

    無法下載圖示 全文公開日期 2024/09/26 (校內網路)
    全文公開日期 2024/09/26 (校外網路)
    全文公開日期 2024/09/26 (國家圖書館:臺灣博碩士論文系統)
    QR CODE