簡易檢索 / 詳目顯示

研究生: 廖育紳
Yu-Shen Liao
論文名稱: 倍頻器及注入鎖定高階除頻器
Frequency Doubler and High-Modulus Injection-Locked Frequency Dividers
指導教授: 張勝良
Sheng–Lyang Jang
口試委員: 莊敏宏
Miin-Horng Juang
溫俊瑜
Jiun-Yu Wen
宋峻宇
Jiun-Yu Sung
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2021
畢業學年度: 109
語文別: 英文
論文頁數: 110
中文關鍵詞: 除頻器
外文關鍵詞: divider
相關次數: 點閱:210下載:10
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

在RF射頻收發機中,頻率合成器的特性是很重要的角色,其中內部包含了相位偵測器(PFD)、充電幫浦(CP)、迴路濾波器(LF)、壓控振盪器(VCO)、除頻器(FD),而其中又以壓控振盪器和注入鎖定除頻器的特性為主要的電路。壓控振盪器需要低相位雜訊來避免相鄰雜訊訊號經由混波轉換的干擾,壓控振盪器的輸出在經由除頻器來達到降頻的工作,所以除頻器必須有高的操作頻率與頻寬。本篇論文呈現各種高性能注入鎖定除頻器(ILFD)的設計。
首先,本篇量測倍頻器使用了台積電0.18 μm BiCMOS SiGe 製程。此倍頻器為具有負柵極偏壓的金氧半場效電晶體倍頻器,由D類壓控電壓震盪器生成的內部信號作為輸入電壓,再藉由異質接面雙載子電晶體作為二極管的整流器產生負柵極偏壓來倍頻。壓控電壓震盪器提供的電壓振幅是供應電壓的三倍,並且具有高負向電壓在,此電路產生4.34 GHz到4.17GHz之訊號,並由在尾端注入訊號,可將D類壓控電壓震盪器當成除二注入鎖定除頻器,讓倍頻器提供相位雜訊和追蹤注入訊號的訊號,此電路的面積為1.19×1.15 mm2。
其次,本篇量測一個使用台積電0.18 μm製程之互補式金氧半導體除十六注入鎖定除頻器,此除頻器是由電流型邏輯電路除四除頻器疊接電容交叉耦合式之除四注入鎖定除頻器構成,除十六注入鎖定除頻器在注入訊號強度為0 dBm的情況下有10.81 GHz到12.82 GHz的除頻範圍,其功率消耗為 12.5mW。除十六注入鎖定除頻器使用有寬注入鎖定範圍的電流型邏輯電路除四除頻器來跟隨LC注入鎖定除頻器輸出的頻率,此電路的面積為1.2×1.198 mm2。此注入鎖定除頻器還可以當成除二十四注入鎖定除頻器和除八注入鎖定除頻器。
第三,本篇量測一個使用台積電0.18 μm製程之互補式金氧半導體除十二注入鎖定除頻器,此除頻器是由電流型邏輯電路除四除頻器疊接電容交叉耦合式之除三注入鎖定除頻器構成,除十二注入鎖定除頻器在注入訊號強度為0 dBm的情況下有9.49 GHz到9.89 GHz的除頻範圍,其功率消耗為18.21mW。除十二注入鎖定除頻器使用有寬注入鎖定範圍的電流型邏輯電路除四除頻器來跟隨LC注入鎖定除頻器輸出的頻率,此電路的面積為0.927×1.146 mm2。此注入鎖定除頻器還可以當成除二十注入鎖定除頻器和除四注入鎖定除頻器。
第四,本篇設計一個使用了台積電0.18 μm BiCMOS SiGe 製程,此除頻器使用了電耦合和磁耦合來設計LC注入鎖定除頻器。注入鎖定除頻器是由兩個分別工作在3.5 GHz和5.5GHz的單波段LC-tank電容交叉耦合注入鎖定除頻器構成。除二注入鎖定除頻器低頻部分在注入訊號強度為0 dBm的情況下有3.5 GHz到7.2 GHz的除頻範圍,其功率消耗為16.4mW,高頻部分在注入訊號強度為0 dBm的情況下有10.2 GHz到11.8 GHz的除頻範圍,其功率消耗為8.8mW。此電路的面積為0.642×0.996 mm2。


Frequency synthesizer plays an important role of wireless communication system, its blocks include Phase Frequency Detector (PFD), Charge Pump (CP), Loop Filter (LF), Voltage Controlled Oscillator (VCO), and Frequency Divider (FD). In order to pursue low-power, low phase noise and wide Locking range of divider, so this thesis presents the design of high performance Injection-Locked Frequency Dividers (ILFDs).

First, this thesis measures a MOSFET frequency doubler (×2 FD) with negative gate bias to enforce the doubler MOSFET biased in the pinched-off to lower dc power dissipation. The negative gate bias is generated by the HBT diode rectifier using the class-D VCO internal signal as input voltages. The VCO provides peak voltage swing three times of the supply voltage and high negative going voltage. The integrated VCO-FD in the TSMC 0.18 μm BiCMOS uses a silicon die area of 1.1945×1.152 mm2. The varactor-less VCO is tunable by varying the gate bias of the capacitive cross-coupled FETs, so that the frequency doubler output frequency is tunable and it operates from 4.34 GHz to 4.17 GHz. The class-D VCO can be used as a divide-by-2 ILFD by applying a tail injection signal, then the frequency doubler provides a signal with the phase noise and the frequency tracking the injection signal.

Secondly, this thesis measures a CMOS divide-by-16 injection-locked frequency divider (ILFD) with a divide-by-4 current-mode logic (CML) frequency divider (FD) stacked on a capacitive cross-coupled oscillator used as an LC divide-by-4 ILFD. The divide-by-16 ILFD in the TSMC 0.18 μm 1P6M CMOS process has a locking range from 10.81 GHz to 12.82 GHz at the power consumption of 16.05mW and an external injected signal power Pinj of 0 dBm. The varactor-less divide-by-16 ILFD uses the CML FD with wide locking range to track the input frequency from the LC ILFD output, and occupies a small area of 1.2×1.198 mm2. The ILFD also can operate in the divide-by-8 and divide-by-24 modes.

Thirdly, this thesis measures a CMOS divide-by-12 injection-locked frequency divider (ILFD) with a divide-by-4 current-mode logic (CML) stacked on a capacitive cross-coupled oscillator used as an LC divide-by-3 ILFD. The divide-by-12 ILFD in the TSMC 0.18 μm 1P6M CMOS process has a locking range from 9.49 GHz to 9.89 GHz at the power consumption of 18.21 mW and an external injected signal power Pinj of 0 dBm. The varactor-less divide-by-12 ILFD uses the CML FD with wide locking range to track the input frequency from the LC ILFD output, and occupies a small area of 0.927×1.146 mm2.

Finally, this thesis designs technique of using hybrid electric and magnetic coupling to design LC injection-locked frequency dividers (ILFDs). The ILFD uses two single-band LC-tank capacitive cross-coupled sub-ILFDs operating at 3.5 GHz and 5.5 GHz respectively. The divide-by-2 ILFD in the TSMC 0.18 μm BiCMOS uses a silicon die area of 0.642×0.996 mm2.

中文摘要 1 Abstract 3 誌謝 5 Chapter 1 Introduction 15 1.1 Background 15 1.2 Thesis Organization 17 Chapter 2 Principles and Design Considerations of Voltage Controlled Oscillators 19 2.1 Introduction 19 2.2 The Oscillators Theory 21 2.2.1 Feedback Oscillators (Two port) 21 2.2.2 Negative Resistance and Resonator (One port) 23 2.3 Category of Oscillators 26 2.3.1 Ring Oscillator 26 2.3.2 LC-Tank Oscillator 28 2.4 Passive Components Design in VCO 36 2.4.1 Capacitor Design 36 2.4.2 MOS Varactor Design 38 2.4.3 Inductor Design 42 2.4.4 Transformer Design 44 2.4.5 Resistor Design 48 2.5 The Basic parameters of VCO 48 2.5.1 RF Center Frequency [Hz] 49 2.5.2 RF Output Signal Power [dBm] 49 2.5.3 Power Dissipation [mW] 49 2.5.4 Harmonic/spurious [dBc] 50 2.5.5 Phase Noise [dBc/Hz] 50 2.5.6 Tuning Range [Hz] 53 2.5.7 Tuning Sensitivity [Hz/V] 54 2.5.8 Quality Factor 55 2.5.9 Figure of Merit 57 Chapter 3 Design of Injection Locked Frequency Divider 58 3.1 Principle of Injection Locked Frequency Divider 59 3.2 Locking Range 60 Chapter 4 Measurement of Frequency Doubler Using Negative Gate Biased MOSFET 63 4.1 Introduction 63 4.2 Circuit Design 65 4.3 Measurement and Discussion 73 Chapter 5 Measurement of Current-Reused Divide-by-16 Injection-Locked Frequency Divider 80 5.1 Introduction 80 5.2 Circuit Design 82 5.3 Measurement and Discussion 86 Chapter 6 Measurement of Current-Reused Divide-by-12 Injection-Locked Frequency Divider 90 6.1 Introduction 90 6.2 Circuit Design 91 6.3 Measurement and Discussion 94 Chapter 7 Divide-by-2 Injection-Locked Frequency Dividers Using the Hybrid Electric-Field and Magnetic Coupling Technique 98 7.1 Introduction 98 7.2 Circuit Design 99 7.3 Measurement and Discussion 101 Chapter 8 Conclusions 104 References 106

[1] B. Razavi, “RF Microelectronics”, Upper Saddle River, NJ: Prentice Hall, 1998
[2] N. M. Nguyen and R. G. Meyer, “Start-up and frequency stability in high-frequency oscillators,” IEEE J. Solid-State Circuit, vol. 27, no. 5, pp. 810–820, May 1992.
[3] S. Smith, Microelectronic Circuit 4th edition, Oxford University Press 1998.
[4] B. Razavi, Design of Analog CMOS Integrated Crcuits, MC Graw Hall,2001.
[5] P. Andreani, S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE Journal of Solid-State Circuits, vol. 35, no. 6, pp. 905-910, June 2000.
[6] B. Razavi, Design of Analog CMOS Integrated Circuits, Mc Graw Hill, 2001.
[7] Y. K. Koutsoyannopoulos, and Y. Papananos, “Systematic analysis and modeling of integrated inductors and transformers in RF IC design,” IEEE Trans. Crcuits and System-II, vol. 47, no. 8, pp. 699-713, 2000.
[8] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620-628, 2001.
[9] H. M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Transactions on Parts, Hybrids, and Packaging, vol. 10, pp. 101-109, Jun 1974.
[10] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179−194, Feb. 1998.
[11] J. J. Rael and A. A. Abidi, “Physical processes of phase noise in differential LC Oscillators,” IEEE Custom Integrated Circuits Conference, pp. 569−572, 2000.
[12] T. Lee and A. Hajimiri, “Oscillator phase noise: a tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326−336, Mar. 2000.
[13] B. Razavi, Design of Analog CMOS Integrated Circuits, Mc Graw Hill, 2001.
[14] T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits, Cambridge University Press 1998.
[15] D. Hauspie, E.-C. Park, and J. Craninckx, “Wide-band VCO with simultaneous switching of frequency band, active core, and varactor size,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1472–1480, Jul. 2007.
[16] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July 1996.
[17] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July 1996.
[18] Q. Huang and R. Rogenmoser, “Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks,” IEEE J. Solid-State Circuits, vol. 31, pp. 456-463, Mar. 1996.
[19] J. Lee and B. Razavi, “A 40-GHz frequency divider in 0.18μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
[20] H. R. Rategh, and T.H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, pp. 813-821, June 1999.
[21] H. D. Wohlmuth and D. Kehrer, “A high sensitivity static 2:1 frequency divider up to 27 GHz in 120 nm CMOS,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 823-826, Sept. 2002.
[22] M. Tiebout, “A 480 uW 2 GHz ultra low power dual-modulus prescaler in 0.25 um standard CMOS,” IEEE International Symposium on Circuit and System (ISCAS), vol. 5, pp. 741-744, May 2000.
[23] H. Wu, and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 μm CMOS frequency divider with shunt-peaking locking-range enhancement,” IEEE ISSCC Dig. Tech. Papers, pp. 412-413, Feb. 2001.
[24] R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1 GHz and 2.8 GHz CMOS injection- locked ring oscillator prescalers,” IEEE Symposium on VLSI Circuits, pp. 47-50, June 2001.
[25] P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An Injection Locking Scheme for Precision Quadrature Generation,” IEEE J. Solid-State Circuits, vol. 37, pp. 845-851, July 2002.
[26] H. R. Rategh, and T.H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, pp. 813-821, June 1999.
[27] W. Z. Chen, and C. L. Kuo, “18 GHz and 7 GHz superharmonic injection-locked dividers in 0.25pm CMOS technology,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 89-92, Sept. 2002.
[28] H. Wu, “Signal generation and processing in high-frequency/high-speed silicon based integrated circuits,” PhD thesis, California Institute of Technology, 2003.
[29] R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, pp.1380-1385, Oct. 1973.
[30] K. Yamamoto, “A 1.8-V operation 5-GHz-band CMOS frequency doubler using current-reuse circuit design technique,” IEEE J. Solid State Circuits, vol. 40, no. 6, pp. 1288-1295, Jun. 2005.
[31] T. Buecher, S. Malz, K. Aufinger and U. R. Pfeiffer, "A 210–291-GHz (8×) frequency multiplier chain with low power consumption in 0.13-μm SiGe," IEEE Microw. Wireless Compon. Lett., vol. 30, no. 5, pp. 512-515, May 2020.
[32] T. Kaho, Y. Yamaguchi, H. Okazaki, K. Uehara, "A compact X-band balanced frequency doubler on GaAs pHEMT 3D MMIC ", IEEE International Symposium on Radio-Frequency Integration Technology, pp. 237- 240, 2009.
[33] L. Yu, Y. Tao, Y. Ziqiang, and C. Jia, “A 3–50 GHz ultra-wideband PHEMT MMIC balanced frequency doubler,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 629–631, Sep. 2008.
[34] H.-C. Lin and G. Rebeiz, “A 200–245 GHz balanced frequency doubler with peak output power of +2 dBm,” in Proc. IEEE Compound Semiconductor Integrated Circuits Symp. (CSICS), 2013, pp. 1–4.
[35] S. Shopov, A. Balteanu, J. Hasch, P. Chevalier, A. Cathelin, and S. P. Voinigescu, “A 234–261-GHz 55-nm SiGe BiCMOS signal source with 5.4–7.2 dBm output power, 1.3% DC-to-RF efficiency, and 1-GHz divided-down output,” IEEE J. Solid-State Circuits, vol. 51, no. 9, pp. 2054–2065, Sep. 2016.
[36] W. Aouimeur et al., "A G band +2 dBm balanced frequency doubler in 55 nm SiGe BiCMOS," 2017 IEEE 17th Topical Meeting on Silicon Monolithic Integr. Circuits in RF Syst. (SiRF), Phoenix, AZ, 2017, pp. 60-63.
[37] Bredendiek et al., “An ultra-wideband D-Band signal source chip using a fundamental VCO with frequency doubler in a SiGe bipolar technology,” in Proc. IEEE RFIC Symp., Jun. 2012, pp. 83–86.
[38] S.-L. Jang, T.-S. Lee, C.-W. Hsue, and C.-C. Liu, “A low voltage quadrature VCO implemented with series frequency doublers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 819–821, Dec. 2009.
[39] M. Tormanen and H. Sjoland, "A 24 GHz VCO with 20 % tuning range in 130-nm CMOS using SOP Technology", in IEEE RFIC Symp., pp. 473-476, Boston, MA, June 2009.
[40] J. Hsieh, K. Lin and S. Lu, "A low-power millimeter wave VCO by using frequency doubling technique," IEEE Int. Symp. on Radio-Frequency Integration Technology (RFIT), Taipei, 2016, pp. 1-3, doi: 10.1109/RFIT.2016.7578174.
[41] A. Nikpaik, A. H. M. Shirazi, A. Nabavi, S. Mirabbasi, and S. Shekhar, “A 219-to-231 GHz frequency-multiplier-based VCO with ∼3% peak DC-to-RF efficiency in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 53, no. 2, pp. 389–403, Feb. 2018.
[42] W. Deng et al., “A sub-harmonic injection-locked quadrature frequency synthesizer with frequency calibration scheme for millimeter-wave TDD transceivers,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1710–1720, Jul. 2013.
[43] O. Lee, J. Kim, K. Lim, J. Laskar, and S. Hong, “A 60-GHz push-push InGaP HBT VCO with dynamic frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 679–681, Oct. 2005.
[44] Y. Liu, L. Ma, S. Xie, B. Chi, “A 190 GHz VCO with transformer-based push–push frequency doubler in 40 nm CMOS,” Circuits Syst Signal Process (2019) 38:425–441 (2019) 38:425–441.
[45] L. Fanori and P. Andreani, “Class-D CMOS oscillators,” IEEE J. Solid State Circuits, vol. 48, no. 12, pp. 3105–3119, Dec. 2013.
[46] H. -C. Lee, S. -L. Jang and Y. -C. Wang, "Fully-integrated capacitive cross-coupled Class-D oscillator with frequency doubler," 2020 Int. Workshop on Electromagnetics: Applications and Student Innovation Competition (iWEM), Makung, Penghu, Taiwan, 2020, pp. 1-2.
[47] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May 2006.
[48] Wen-Cheng Lai, S.-L. Jang, Po-Ming Shih, Ho-Chang Lee, Miin-Horng Juang” Current-reused 6:1 Injection-Locked Frequency Divider,” IEEE Int. Wireless Symp. China, Sept., 21-232, 2020.
[49] S.-L. Jang, Wen-Cheng Lai, Guan-Zhang Li, and Yi-Wen Chen,” High Even-Modulus Injection-Locked Frequency dividers,” IEEE Trans. Microw. Theory Techn., vol. 67, no. 12, pp. 5069-5079, Dec. 2019.
[50] A. Mirzaei, M. E. Heidari, R. Bagheri, and A. A. Abidi, “Multi-phase injection widens lock range of ring-oscillator-based frequency dividers,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 656–671, Mar. 2008.
[51] S. -. Jang, Y. -. Chuang, S. -. Lee and J. -. Chao, "Circuit Techniques for CMOS Divide-By-Four Frequency Divider," IEEE Microwave and Wireless Components Letters, vol. 17, no. 3, pp. 217-219, March 2007.
[52] S.-L. Jang, T.-C. Kung and C.-W. Hsue," Wide-Locking Range Divide-by-4 Injection-Locked Frequency Divider Using Linear Mixer Approach," IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp.398-400, 2017.
[53] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May 2006.
[54] W.-C. Lai, S.-L. Jang, P.-M. Shih, H.-Chang Lee, M.-H. Juang” Current-reused 6:1 injection-locked frequency divider,” IEEE Int. Wireless Symp. China, Sept., 21-232, 2020.
[55] S.–L. Jang, W.-C. Lai, G.-Y. Lin, and C.-Yi Huang, ”Injection-locked frequency divider with a resistively distributed resonator for wide locking range performance,” IEEE Trans. Microw. Theory Techn., vol. 67, no. 2, pp. 505-517, Feb. 2018.
[56] S.-L. Jang, W.-C. Lai, Y.-L. Ciou, J. C. Hou, and J.-W. Syu,” Divide-by-2 injection-locked frequency dividers using the electric-field coupling dual-resonance resonator,” IEEE Trans. Microw. Theory Techn., vol. 68, no. 3, pp. 844-853, March. 2020.
[57] S.-L. Jang, J. C. Hou, and W.-C. Lai, “Divide-by-2 transformer-based injection-locked frequency dividers with two active cores,” in Proc. Int. Conf. Integr. Circuits Microsyst. (ICICM), Beijing, China, Oct. 2019.

QR CODE