簡易檢索 / 詳目顯示

研究生: 陳盈全
Ying-Chuan Chen
論文名稱: 軟性電路板微影製程中之影像校準設計
Pattern Correction on Flexible Circuits Board Using Linear Grid Technique
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 李貫銘
Kuan-Ming Li
楊振雄
Cheng-Hsiung Yang
郭永麟
Yong-Lin Kuo
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2015
畢業學年度: 103
語文別: 中文
論文頁數: 82
中文關鍵詞: 軟性印刷電路板機器視覺扭曲校正光學檢測系統
外文關鍵詞: flexible printed circuits board, automation optical inspection, distortion correction
相關次數: 點閱:490下載:13
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

軟性印刷電路板(FPCBs)主要功能是將各種電子零組件,提供置放及連結的功能,是由聚亞醯胺(PI)或聚酯(PET)軟性材料為基材所組成的電路板,軟性印刷電路板發展趨勢為可撓性、輕量化、減少擺放空間,由於這些特性,使得軟性電路板產量增加,如此一來微影曝光製程成為軟性印刷電路板產量的主要因素之一,而近期發展數位微鏡裝置(DMD)的微影曝光系統擁有快速印刷圖案的能力,且更具自動化的潛能,然而目前研究自動光學檢測在印刷電路板上微影曝光後線路的檢測探討居多,本論文主要基礎建立在無微影光罩曝光系統,由於軟性基材在機台環境溫度的不同會造成彎曲曲率不規則變化,利用數位微鏡裝置投影出方格線,來使相機擷取影像,透過模板匹配法、遮罩化運算、邊緣點檢測和扭曲校正法來找出軟性印刷電路板上變形量的演算法,將原始欲定義曝光之電路圖重新校準並符合軟性印刷電路板之基材的形變量,利用影像視覺對位法對於軟性基材做校準計算,計算結果並回授到PC-BASED控制P.I.D.控制線性馬達伺服模組進行平面之靜態檢測。光機電自動化系統對於不同的校正符號探討,研究系統整合如何更快速且精確之檢測在一片250×250 mm2,本研究利用兩種定位符號以及三種不同尺寸大小之可視範圍進行測試,總共六種樣品進行靜態檢測,最後,在方格線檢測區域大小為50×40 mm2所耗費平均檢測時間最快為每一列8.38秒,小於一般的檢測時間每一列19.2秒,此時相機模組之最小解析度為17.51 μm。


Flexible printed circuit boards (FPCBs) are made of flexible materials such as polyimide and polyester. They can be bent, folded, rolled stretched and “moved freely” within a 3-D space. Owing to these characteristics, FPCBs are often used as the substrate to carry electrical components the conduction lines and it turns out enabling the lithography process is critical to the FPCB production. Recently, many groups investigate the lithography process using a DMD-based technique due to its capability to print the lithography patterns at the high speed. However, these studies only focused on rigid substrates and performed automated optical inspection (AOI) the patterns after completing the lithography process. The effect of flexible surface on the exposure field has rarely been investigated. Bent surfaces may reduce the accuracy and the performance of lithography process to print the desired pattern. In this research, a flexible bending surface detection (FBSD) algorithm is proposed for the maskless lithography system with DMD devices. Our idea is to use projected grid linear lines on flexible substrates for the exposure field distortion correction using the DMD devices. The calibration procedure starts with the template matching, region of interest, line measurement and calibrated method to acquire the distorted image information. In order to verify the designed correction scheme, a flexible PCB film with the area 250×250 mm2 is placed on a scanning stage with a single axis linear controllable motor through the PID controller for the test of the spending time in the detection and correction. With the use of the projected grid field sizes 50×40 mm2, the proposed FBSD algorithm showed that the minimum resolution is 17.5 μm. In addition, the algorithm spends only 8.38 seconds per array to completely recognize the target, in which it is much shorter than the typical recognition time 19.2 seconds per array.

致謝 I 中文摘要 II Abstract III 目錄 IV 圖目錄 VI 表格目錄 IX 第一章 緒論 1 1.1 前言 1 1.2 研究動機與目標 5 1.3 論文架構 7 第二章 軟性印刷電路板微影製程 8 2.1 軟性印刷電路板微影製程系統 8 2.2 軟性印刷電路板微影圖案 14 2.3 數位影像校準設計 16 2.4 結論 37 第三章 軟性印刷電路板之影像校準設計 38 3.1影像設備介紹及數位影像處理流程 38 3.2 圖形區域匹配 40 3.3 遮罩化運算 43 3.4 邊緣點檢測 44 3.5 扭曲校正演算法 47 3.6 結論 51 第四章 影像校準與移動平台之整合 53 4.1 精密單軸移動平台 53 4.2 投影式校準 60 4.3 影像校準模組及移動平台整合 65 4.4 結論 71 第五章 結論 74 5.1 影像校準結果分析與討論 74 5.2 本研究之貢獻 75 5.3 未來發展方向 75 參考文獻 76

[1] B. Bader, C. Richardson, and M. Tsuriya, "Technology Roadmap overviews and future direction through technology gaps," in Electronic Packaging and iMAPS All Asia Conference (ICEP-IACC), 2015 International Conference on, pp. 219-224.
[2] M. Takamiya, H. Fuketa, K. Ishida, T. Yokota, T. Sekitani, T. Someya, et al., "Flexible, large-area, and distributed organic electronics closely contacted with skin for healthcare applications," in Circuits and Systems (MWSCAS), 2014 IEEE 57th International Midwest Symposium on, 2014, pp. 829-832.
[3] T. Sterken, M. Op de Beeck, F. Vermeiren, T. Torfs, L. Wang, S. Priyabadini, et al., "High-yield embedding of 30µm thin chips in a flexible PCB using a photopatternable polyimide based ultra-thin chip package (UTCP)," in 45th International symposium on Microelectronics (IMAPS 2012), 2012, pp. 940-945.
[4] S. Oprea, I. Lita, I. B. Cioc, Vis, x, and D. A. an, "Determination of Misplaced Drill Holes on a PCB," in Electronics Technology, 30th International Spring Seminar on, 2007, pp. 406-409.
[5] K. Fan-Hui, "A New Method of Inspection Based on Shape from Shading," in Image and Signal Processing, 2008. CISP '08. Congress on, pp. 291-294.
[6] X. H. Su, Y. M. Chen, W. He, S. X. Wang, and Z. H. Tao, "Research on Manufacturing Process of Buried/Blind via in HDI Rigid-Flex Board," in Applied Mechanics and Materials, 2013, pp. 527-531.
[7] R. Bakhshi, M. H. Azarian, and M. G. Pecht, "Effects of Voiding on the Degradation of Microvias in High Density Interconnect Printed Circuit Boards Under Thermomechanical Stresses," Components, Packaging and Manufacturing Technology, IEEE Transactions on, vol. 4, no. 8, pp. 1374-1379, 2014.
[8] A. Salahouelhadj, M. Martiny, S. Mercier, L. Bodin, D. Manteigas, and B. Stephan, "Reliability of thermally stressed rigid–flex printed circuit boards for High Density Interconnect applications," Microelectronics Reliability, vol. 54, no. 1, pp. 204-213, 2014.
[9] T. Tsunoda, R. Kasai, S. Yuki, N. Ota, K. Sawada, Y. Yamamoto, et al., "Advanced vertical interconnect technology with high density interconnect and conductive paste," in Electronics Packaging (ICEP), 2014 International Conference on, pp. 50-54.
[10] T. Loher, M. Seckel, B. Pahl, L. Bottcher, A. Ostmann, and H. Reichl, "Highly integrated flexible electronic Circuits and Modules," in Microsystems, Packaging, Assembly & Circuits Technology Conference, 2008. IMPACT 2008. 3rd International, 2008, pp. 86-89.
[11] J. Vanfleteren, M. Gonzalez, F. Bossuyt, T. Vervust, I. De Wolf, and M. Jablonski, "PCB technology based stretchable circuits," in EIPC Summer Conference, 2013.
[12] W. Jillek and W. K. C. Yung, "Embedded components in printed circuit boards: a processing technology review," The International Journal of Advanced Manufacturing Technology, vol. 25, no3-4, pp. 350-360, 2005.
[13] Y. Chen, W. He, G. Zhou, Z. Tao, Y. Wang, and D. Luo, "Failure mechanism of solder bubbles in PCB vias during high‐temperature assembly," Circuit World, vol. 39, no. 3, pp. 133-138, 2013.
[14] A. Doudkin and A. Inyutin, "THE DEFECT AND PROJECT RULES INSPECTION ON PCB LAYOUT IMAGE," 2014, vol. 5, no. 3, p. 5, 2014.
[15] R. Oron, "Performance optimization of electronic circuits laser repair," 2014, pp. 89671H-89671H-5.
[16] N.-S. Qiao, Y.-T. Ye, and Y.-L. Huang, "A corrected method of distorted printed circuit board image," Chinese Physics B, vol. 20, no. 1, p. 014203, 2011.
[17] J. x. Huang, F. Ye, Z. J. Dong, and D. Li, "Correction of FPC solder position error based on mutual information entropy," Circuit World, vol. 37, no.1, pp. 46-51, 2011.
[18] A. Cherala, P. Schumaker, B. Mokaberi, K. Selinidis, C. Byung Jin, M. J. Meissl, et al., "Nanoscale Magnification and Shape Control System for Precision Overlay in Jet and Flash Imprint Lithography," Mechatronics, IEEE/ASME Transactions on, vol. 20, no. 1, pp. 122-132, 2015.
[19] M. Ahmed, D. P. Butler, and Z. Celik-Butler, "Low-profile, self-packaged uncooled microbolometer on a flexible substrate towards an infrared radiation sensitive skin," in SENSORS, 2014 IEEE, 2014, pp. 2155-2158.
[20] Lo, x, T. her, R. Vieroth, M. Seckel, A. Ostmann, et al., "Stretchable electronic systems for wearable and textile applications," in VLSI Packaging Workshop of Japan, 2008. VPWJ 2008. IEEE 9th, 2008, pp. 9-12.
[21] J. G. Ok, M. K. Kwak, C. M. Huard, H. S. Youn, and L. J. Guo, "Photo–Roll Lithography (PRL) for Continuous and Scalable Patterning with Application in Flexible Electronics," Advanced Materials, vol. 25, no. 45, pp. 6554-6561, 2013.
[22] N. Unno, T. Mäkelä, and J. Taniguchi, "Thermal roll-to-roll imprinted nanogratings on plastic film," Journal of Vacuum Science & Technology B, vol. 32, no. 6, p. 06FG03, 2014.
[23] J. D. Jarrett, M. Kambiz Ansari, Y. Yew Sok, T. Christina, F. Jerry Ying Hsi, L. Heow Pueh, et al., "High resolution UV roll-to-roll nanoimprinting of resin moulds and subsequent replication via thermal nanoimprint lithography," Nanotechnology, vol. 23, no. 48, p. 485310, 2012.
[24] P. F. Moonen, I. Yakimets, and J. Huskens, "Fabrication of Transistors on Flexible Substrates: from Mass-Printing to High-Resolution Alternative Lithography Strategies," Advanced Materials, vol. 24, no. 41, pp. 5526-5541, 2012.
[25] E. Halonen, A. Halme, T. Karinsalo, P. Iso-Ketola, M. Mantysalo, and R. Makinen, "Dynamic bending test analysis of inkjet-printed conductors on flexible substrates," in Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd, pp. 80-85.
[26] C. Pi-Hsun and L. Che-Hsin, "Electroplated stencil reinforced with arch structures for printing fine and long conductive paste," in Micro Electro Mechanical Systems (MEMS), 2015 28th IEEE International Conference on, pp. 272-275.
[27] E. Zgraggen, I. M. Soganci, F. Horst, A. L. Porta, R. Dangel, B. J. Offrein, et al., "Laser Direct Writing of Single-Mode Polysiloxane Optical Waveguides and Devices," Journal of Lightwave Technology, vol. 32, no. 17, pp. 3036-3042, 2014.
[28] M. Rahlves, M. Rezem, K. Boroz, S. Schlangen, E. Reithmeier, and B. Roth, "Flexible, fast, and low-cost production process for polymer based diffractive optics," Optics Express, vol. 23, no. 3, pp. 3614-3622, 2015.
[29] Y. Kawahara, S. Hodges, G. Nan-Wei, S. Olberding, and J. Steimle, "Building Functional Prototypes Using Conductive Inkjet Printing," Pervasive Computing, IEEE, vol. 13, no. 3, pp. 30-38, 2014.
[30] O. Vazquez-Mena, T. Sannomiya, M. Tosun, L. G. Villanueva, V. Savu, J. Voros, et al., "High-Resolution Resistless Nanopatterning on Polymer and Flexible Substrates for Plasmonic Biosensing Using Stencil Masks," ACS Nano, vol. 6, no. 6, pp. 5474-5481, 2012.
[31] J.-H. Kim, S. H. Hong, K.-d. Seong, and S. Seo, "Fabrication of Organic Thin-Film Transistors on Three-Dimensional Substrates Using Free-Standing Polymeric Masks Based on Soft Lithography," Advanced Functional Materials, vol. 24,no. 16, pp. 2404-2408, 2014.
[32] E. Zgraggen, I. M. Soganci, F. Horst, A. L. Porta, R. Dangel, B. J. Offrein, et al., "Laser direct writing of single-mode polysiloxane optical waveguides and devices," Journal of Lightwave Technology, vol. 32, pp. 3036-3042, 2014.
[33] D. Kattipparambil Rajan, J.-P. Raunio, M. T. Karjalainen, T. Ryynänen, and J. Lekkala, "Novel method for intensity correction using a simple maskless lithography device," Sensors and Actuators A: Physical, vol. 194, pp. 40-46, 2013.
[34] K. C. Johnson, "Nodal line-scanning method for maskless optical lithography," Applied Optics, vol. 53, no. 34, pp. J7-J18, 2014.
[35] H. Ryoo, D. W. Kang, and J. W. Hahn, "Analysis of the line pattern width and exposure efficiency in maskless lithography using a digital micromirror device," Microelectronic Engineering, vol. 88, no. 10, pp. 3145-3149, 2011.
[36] C. Liu, X. Guo, F. Gao, B. Luo, X. Duan, J. Du, et al., "Imaging simulation of maskless lithography using a DMD," 2005, pp. 307-314.
[37] J. Y. Choi, S. W. Park, Y. S. Inh, Z. Y. Li, and J. C. Koo, "Optical head alignment method using serial manipulators for maskless lithography system," in ASME 2014 Conference on Information Storage and Processing Systems, 2014, pp. V001T07A004-V001T07A004.
[38] M. Seo, T. Lee, and H. Kim, "Parameters affecting pattern fidelity and line edge roughness under diffraction effects in optical maskless lithography using a digital micromirror device," 2012, pp. 855724-855724-11.
[39] A. Alwaidh, M. Sharp, and P. French, "Laser processing of rigid and flexible PCBs," Optics and Lasers in Engineering, vol. 58, pp. 109-113, 2014.
[40] "High-speed one-dimensional spatial light modulator for Laser Direct Imaging and other patterning applications," 2014, pp. 89770O-89770O-10.
[41] M. A. Kirleis, D. Simonson, N. A. Charipar, H. Kim, K. M. Charipar, R. C. Y. Auyeung, et al., "Laser embedding electronics on 3D printed objects," 2014, pp. 897004-897004-7.
[42] K. Ryoo, M. Kim, J. Sung, K. Kim, and M. Kang, "Maskless laser direct imaging lithography using a 355-nm UV light source in manufacturing of flexible fine dies," Journal of Mechanical Science and Technology, vol. 29, no. 1, pp. 365-370, 2015.
[43] J. P. Tarel and N. Hautiere, "Fast visibility restoration from a single color or gray level image," in Computer Vision, 2009 IEEE 12th International Conference on, pp. 2201-2208.
[44] R. Maini and H. Aggarwal, "A comprehensive review of image enhancement techniques," arXiv preprint arXiv:1003.4053, vol. 2, no. 3, 2010.
[45] T. Kadir and M. Brady, "Saliency, Scale and Image Description," International Journal of Computer Vision, vol. 45, no. 2, pp. 83-105, 2001.
[46] R. W. Ehrich and J. P. Foith, "A view of texture topology and texture description," Computer Graphics and Image Processing, vol. 8, no. 2, pp. 174-202, 1978.
[47] F. Tomita, Y. Shirai, and S. Tsuji, "Description of Textures by a Structural Analysis," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. PAMI-4, no. 2, pp. 183-191, 1982.
[48] D. Zhang and G. Lu, "Study and evaluation of different Fourier methods for image retrieval," Image and Vision Computing, vol. 23, no. 1, pp. 33-49, 2005.
[49] B. S. Manjunath and W. Y. Ma, "Texture features for browsing and retrieval of image data," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 18, pp. 837-842, 1996.
[50] H. Ming-Kuei, "Visual pattern recognition by moment invariants," Information Theory, IRE Transactions on, vol. 8, no. 2, pp. 179-187, 1962.
[51] Z. Ruliang and W. Lin, "An image matching evolutionary algorithm based on Hu invariant moments," in Image Analysis and Signal Processing (IASP), 2011 International Conference on, pp. 113-117.
[52] M. A. Ahad, J. K. Tan, H. Kim, and S. Ishikawa, "Motion history image: its variants and applications," Machine Vision and Applications, vol. 23, no. 2, pp. 255-281, 2012.
[53] J. V. Manjón, P. Coupé, A. Buades, D. Louis Collins, and M. Robles, "New methods for MRI denoising based on sparseness and self-similarity," Medical Image Analysis, vol. 16, no. 1, pp. 18-27, 2012.
[54] J. P. Lewis, "Fast template matching," in Vision interface, 1995, pp. 15-19.
[55] A. Toet, "Speed-up Template Matching through Integral Image based Weak Classifiers," Journal of Pattern Recognition Research, 1, 1-12, 2014.
[56] O. Wanli, F. Tombari, S. Mattoccia, L. Di Stefano, and C. Wai-Kuen, "Performance Evaluation of Full Search Equivalent Pattern Matching Algorithms," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 34, no. 1, pp. 127-143, 2012.
[57] T. G. Whiteside, S. W. Maier, and G. S. Boggs, "Area-based and location-based validation of classified image objects," International Journal of Applied Earth Observation and Geoinformation, vol. 28, pp. 117-130, 2014.
[58] J. Joglekar and S. S. Gedam, "Area based image matching methods—A survey," Int. J. Emerg. Technol. Adv. Eng, vol. 2, no. 1, pp. 130-136, 2012.
[59] J. Lewis, "Fast normalized cross-correlation," in Vision interface, 1995, pp. 120-123.
[60] A. Nakhmani and A. Tannenbaum, "A new distance measure based on generalized Image Normalized Cross-Correlation for robust video tracking and image recognition," Pattern Recognition Letters, vol. 34, no. 3, pp. 315-321, 2/1/ 2013.
[61] H. Wang, T. Chen, P. Torzilli, R. Warren, and S. Maher, "Dynamic contact stress patterns on the tibial plateaus during simulated gait: A novel application of normalized cross correlation," Journal of Biomechanics, vol. 47, no. 2, pp. 568-574, 2014.
[62] H. Yong Seok, L. Kyoung Mu, and L. Sang Uk, "Robust Stereo Matching Using Adaptive Normalized Cross-Correlation," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 33, no. 4, pp. 807-822, 2011.
[63] K. Briechle and U. D. Hanebeck, "Self-localization of a mobile robot using fast normalized cross correlation," in Systems, Man, and Cybernetics, 1999. IEEE SMC '99 Conference Proceedings. 1999 IEEE International Conference on, 1999, pp. 720-725 vol.4.
[64] S. A. Ramakanth and R. V. Babu, "Feature match: an efficient low dimensional PatchMatch technique," presented at the Proceedings of the Eighth Indian Conference on Computer Vision, Graphics and Image Processing, Mumbai, India, 2012.
[65] M. Brown and D. Lowe, "Automatic Panoramic Image Stitching using Invariant Features," International Journal of Computer Vision, vol. 74, no. 1, pp. 59-73, 2007.
[66] M. Brown, H. Gang, and S. Winder, "Discriminative Learning of Local Image Descriptors," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 33, no. 1, pp. 43-57, 2011.
[67] N. Wang, D. Tao, X. Gao, X. Li, and J. Li, "A Comprehensive Survey to Face Hallucination," International Journal of Computer Vision, vol. 106, no. 1, pp. 9-30, 2014.
[68] M. Debella-Gilo and A. Kääb, "Sub-pixel precision image matching for measuring surface displacements on mass movements using normalized cross-correlation," Remote Sensing of Environment, vol. 115, no. 1, pp. 130-142, 2011.
[69] J. Braux-Zin, R. Dupont, and A. Bartoli, "A General Dense Image Matching Framework Combining Direct and Feature-Based Costs," in Computer Vision (ICCV), 2013 IEEE International Conference on, pp. 185-192.
[70] D. Marr and E. Hildreth, "Theory of Edge Detection," Proceedings of the Royal Society of London B: Biological Sciences, vol. 207, no.1167, pp. 187-217, 1980.
[71] J. Canny, "A Computational Approach to Edge Detection," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. PAMI-8, no. 6, pp. 679-698, 1986.
[72] P. K. Sahoo, S. Soltani, and A. K. C. Wong, "A survey of thresholding techniques," Computer Vision, Graphics, and Image Processing, vol. 41, pp. 233-260, 1988.
[73] G. Ramponi, N. K. Strobel, S. K. Mitra, and T.-H. Yu, "Nonlinear unsharp masking methods for image contrast enhancement," Journal of Electronic Imaging, vol. 5, no. 3, pp. 353-366, 1996.
[74] G. Wenshuo, Z. Xiaoguang, Y. Lei, and L. Huizhong, "An improved Sobel edge detection," in Computer Science and Information Technology (ICCSIT), 2010 3rd IEEE International Conference on, pp. 67-71.
[75] V. Sanduja and R. Patial, "Sobel edge detection using parallel architecture based on FPGA," International Journal of Applied Information Systems, vol. 3, no. 4, pp. 20-24, 2012.
[76] S. Agarwal, Y. Joshi, O. P. Singh, and D. Nagaria, "Analysis of linear filtering techniques on X-Ray image," in Computer and Communication Technology (ICCCT), 2014 International Conference on, pp. 313-316.
[77] J. Wang, F. Shi, J. Zhang, and Y. Liu, "A new calibration model of camera lens distortion," Pattern Recognition, vol. 41, no. 2, pp. 607-615, 2008.
[78] J. Sun, X. Chen, Z. Gong, Z. Liu, and Y. Zhao, "Accurate camera calibration with distortion models using sphere images," Optics & Laser Technology, vol. 65, pp. 83-87, 2015.
[79] W. Hugemann, "Correcting lens distortions in digital photographs," Ingenieurbüro Morawski+ Hugemann: Leverkusen, Germany, 2010.
[80] D. Herrera C, J. Kannala, and Heikkil, "Joint Depth and Color Camera Calibration with Distortion Correction," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 34, no. 10, pp. 2058-2064, 2012.
[81] Y. Tian and S. Narasimhan, "Globally Optimal Estimation of Nonrigid Image Distortion," International Journal of Computer Vision, vol. 98, no. 3, pp. 279-302, 2012.
[82] Z. Zhengyou, "A flexible new technique for camera calibration," Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol. 22, no. 11, pp. 1330-1334, 2000.
[83] H. Haneishi, Y. Yagihashi, and Y. Miyake, "A new method for distortion correction of electronic endoscope images," Medical Imaging, IEEE Transactions on, vol. 14, no. 3, pp. 548-555, 1995.
[84] D. Gao and F. Yin, "Computing a complete camera lens distortion model by planar homography," Optics & Laser Technology, vol. 49, pp. 95-107, 2013.
[85] M. R. Bax and R. Shahidi, "Real-time lens distortion correction: speed, accuracy and efficiency," Optical Engineering, vol. 53, no. 11, pp. 113103-113103, 2014.
[86] J. P. Helferty, Z. Chao, G. McLennan, and W. E. Higgins, "Videoendoscopic distortion correction and its application to virtual guidance of endoscopy," Medical Imaging, IEEE Transactions on, vol. 20, no. 7, pp. 605-617, 2001.
[87] S. Van der Jeught, J. A. N. Buytaert, and J. J. J. Dirckx, "Real-time geometric lens distortion correction using a graphics processing unit," Optical Engineering, vol. 51, no. 2, pp. 027002-1-027002-5, 2012.

QR CODE