簡易檢索 / 詳目顯示

研究生: 賴麒仁
Ci-Ren Lai
論文名稱: 整合高可靠度與低功率消耗之動態隨機存取記憶體設計技術
Integrated Highly Reliable and Low-power Design Techniques for Dynamic Random Access Memories
指導教授: 呂學坤
Shyue-Kung Lu
口試委員: 呂學坤
Shyue-Kung Lu
李進福
Jin-Fu Li
洪進華
Jin-Hua Hong
黃樹林
Shu-Lin Hwang
王乃堅
Nai-Jian Wang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2018
畢業學年度: 106
語文別: 中文
論文頁數: 105
中文關鍵詞: 動態隨機存取記憶體可靠度良率刷新功率消耗內建自我修復
外文關鍵詞: DRAM, Reliability, yield, Refresh Power, built-in self-repair
相關次數: 點閱:227下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 動態隨機存取記憶體 (Dynamic Random Access Memory, DRAM) 由於其高密度、使用壽命長與低成本等優點經常被廣泛使用於現代電子產品上,而隨著製程的進步,記憶體的良率(Yield) 與可靠度(Reliability) 都受到了影響,為了維持資料正確所需的刷新動作(Refresh) 對記憶體功率消耗及性能(Performance) 的負擔也越來越嚴重。以往有許多論文探討使用錯誤修正碼技術(ECC) 來保護記憶體或是使用備用元件(Redundancy) 來取代錯誤細胞,但沒有針對各種錯誤的即時辨別與修復的相關研究,為了能更有效的運用各種修復技術來修正錯誤,本論文提出整合高可靠度與低功率消耗之動態隨機存取記憶體設計技術。
    本技術結合了適應性區塊刷新技術和錯誤修正碼與硬體冗餘技術,在正常使用下錯誤修正碼偵測到錯誤時,會將編碼字變補寫回記憶體並讀出比較來辨別出硬錯誤,再利用硬體冗餘技術配置的備用位元來修復硬錯誤,資料保留錯誤則是透過等待錯誤所在位置之刷新週期時間過後額外插入的讀取指令來辨別,藉由降低區塊刷新技術所配置的刷新區塊其刷新週期來避免資料保留錯誤重複發生,而錯誤修正碼則能維持其對突發的軟錯誤攻擊之保護能力。
    本研究實現了高可靠度及低功耗設計技術的硬體架構,並開發一個模擬器評估本技術於記憶體的修復率和良率,針對可靠度、刷新功率消耗與額外硬體成本也都做了詳細的分析,根據實驗結果,本技術可以顯著地提升記憶體的修復率、良率及可靠度,128Mb的記憶體使用本技術後刷新功耗最多能節省87.16%,而只需付出不超過1% 的硬體成本,為可忽略的程度。


    Dynamic Random Access Memory (DRAM) is widely used in most modern electronic systems as main memory because of its high density, longevity, and low cost. As the VLSI technologies keep shrinkage and minimization of devices, the yield and reliability of DRAM has been severely affected due to the occurrences of soft errors and permanent faults. Moreover, the data retention time of DRAM cells is limited by inherent leakage current, temperature spans, and aging effects. In order to prevent from data retention faults, periodic refresh operations which devour energy and degrade system performance are required. Fortunately, there are many techniques proposed for protecting DRAM by using the error correction code (ECC) or replacing faulty cells with redundancies. However, most of previous techniques address different fault models separately. In order to conquer these fault models simultaneously with limited repair resources, integrated highly reliable and low-power design techniques are proposed in this thesis.
    The adaptive block-based refresh technique and the hybrid ECC and redundancy techniques are integrated in the integrated techniques. When the memory system is used on-line and executes a read operation, the faulty codeword will be complemented and written back again for discriminating hard errors. Thereafter, spare bits can be used to replace the memory cells with hard errors. In order to distinguish the data retention errors, an extra read operation will be issued after the time duration of the original refresh period for this memory word when it is detected faulty. Then the refresh period of refresh region containing this word will be halved to prevent loss of data. Thereafter, the correction capability of the adopted ECC can be used to protect soft errors.
    The corresponding hardware architecture of our technique is also proposed in this thesis. We also develop a simulator to evaluate the repair rate, yield, and the reliability. Hardware overhead and refresh power are analyzed, too. According to experimental results, the proposed technique can improve yield, repair rate, and reliability significantly. Furthermore, the refresh power can be saved up to 87.16% with less than 1% hardware overhead for a 128Mb DRAM.

    致謝 I 摘要 II Abstract III 目錄 V 圖目錄 IX 表目錄 XII 第一章簡介 1 1.1 背景及動機 1 1.2 組織架構 5 第二章動態隨機存取記憶體內建自我測試、診斷和修復技術 6 2.1 動態隨機存取記憶體細胞之基本架構及特性 6 2.2 動態隨機存取記憶體電路架構與基本操作動作 9 2.3 動態隨機存取記憶體錯誤模型 13 2.4 測試演算法 15 2.4.1 測試功能性錯誤 15 2.4.2 測試資料保留錯誤 17 2.5 內建自我測試技術 17 2.6 內建自我診斷與修復技術 19 2.7 相關降低刷新功率消耗及容錯技術 22 第三章錯誤檢查及修正碼技術 25 3.1 錯誤修正碼 25 3.1.1 錯誤偵測與修正 25 3.1.2 漢明碼 26 3.1.3 修正漢明碼 27 3.1.4 蕭氏碼 28 3.2 結合時間冗餘和錯誤修正碼之硬錯誤即時修正技術 28 第四章整合高可靠度與低功率消耗之動態隨機存取記憶體設計技術 31 4.1 高可靠度及低功耗設計技術概念 31 4.1.1 低功耗適應性區塊刷新技術及其高可靠度之應用 32 4.1.2 結合錯誤修正碼與硬體冗餘之記憶體技術 33 4.2 記憶體測試與硬錯誤修復流程 35 4.2.1 硬錯誤測試與修復流程 35 4.2.2 資料保留錯誤測試與區塊刷新週期分配流程 37 4.3 正常操作模式操作流程 38 4.3.1 寫入操作流程 38 4.3.2 讀取操作流程 39 4.4 高可靠度及低功耗設計技術硬體架構 44 4.4.1 高可靠度及低功耗設計技術整體硬體架構 45 4.4.2 錯誤修正碼編碼器與解碼器 47 4.4.3 硬錯誤內容定址記憶體模組 48 4.4.4 刷新控制模組 50 4.4.5 硬錯誤修正模組 52 第五章實驗結果 56 5.1 修復率分析 56 5.1.1 瑕疵分布與故障型態設定 56 5.1.2 模擬器環境設計 58 5.1.3 修復率模擬結果 59 5.2 良率分析 63 5.2.1 良率分析模型 64 5.2.2 良率分析結果 64 5.3 可靠度分析 65 5.3.1 可靠度模型 65 5.3.2 可靠度模擬結果 69 5.4 硬體成本分析 70 5.4.1 硬體成本估計模型 71 5.4.2 硬體成本結果 79 5.5 刷新功率消耗分析 81 5.5.1 刷新功率消耗估計模型 81 5.5.2 刷新功率消耗結果 82 5.6 超大型積體電路實現 84 第六章結論與未來展望 86 6.1 結論 86 6.2 未來展望 86 參考文獻 88

    [1] http://www.itrs2.net/2011-itrs.html
    [2] Semico Res. Corp., Phoenix, AZ, USA, ASIC IP Rep., 2007. [Online]. Available: http://www.semico.com/content/semico-systems-chip-%E2%80%93-bravernew-world.
    [3] J. Liu, B. Jaiyen, R. Veras, and O. Mutlu, “RAIDR: Retention-aware intelligent DRAM refresh,” in Proc. 2012 ACM/IEEE 39st Int’l Symp. on Computer Architecture (ISCA), pp. 1-12, June 2012.
    [4] C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar, and S.-L. Lu, “Reducing cache power with low-cost, multi-bit error-correcting codes,” in Proc. 2010 ACM/IEEE 37st Int’l Symp. on Computer Architecture (ISCA), pp. 175-186, June 2010.
    [5] J. Kim and M. C. Papaefthymiou, “Block-based multiperiod dynamic memory design for low data-retention power,” IEEE Trans. VLSI Systems, vol. 11, no. 6, pp. 1006-1018, Dec. 2003.
    [6] A. Agrawal, A. Ansari, and J. Torrellas, “Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules,” in Proc. 20th Int’l Symp. on High-Performance Computer Architecture (HPCA’14), pp. 84-95, 2014.
    [7] R. C. Baumann, “Soft errors in advanced semiconductor devices—Part I: The three radiation sources,” IEEE Trans. Device and Materials Reliability, vol. 1, no. 1, pp. 17-22, Mar. 2001.
    [8] D. C. Bossen and M. Y. Hsiao, “A system solution to the memory soft error problem,” IBM Journal of Research and Development, vol. 24, no. 3, pp. 390-397, May 1980.
    [9] V. Schober, S. Paul, and O. Picot, “Memory built-in self-repair using redundant words,” in Proc. Int’l Test Conf. (ITC), pp. 995-1001, Oct. 2001.
    [10] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, “A built-in self-repair analyzer (CRESTA) for embedded DRAMs,” in Proc. Int’l Test Conf. (ITC), pp. 567-574, Oct. 2000.
    [11] C. T. Huang, C. F. Wu, J. F. Li, and C. W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. Rel., vol. 52, no. 4, pp. 386-399, Dec. 2003.
    [12] S. K. Lu, C. L. Yang, Y. C. Hsiao, and C. W. Wu, “Efficient BISR techniques for embedded memories considering cluster faults,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 2, pp. 184-193, Feb. 2010.
    [13] M. Lee, L. M. Denq, and C. W. Wu, “A memory built-in self-repair scheme based on configurable spares,” IEEE Trans. Comput.-Aided Design Integr. Circuits and Syst., vol. 30, no. 6, pp. 919-929, June 2011
    [14] Y. T. J. Chen, J. F. Li, and T. W. Tseng, “Cost-efficient built-in redundancy analysis with optimal repair rate for RAMs,” IEEE Trans. Comput.-Aided Design Integr. Circuits and Syst., vol. 31, no. 6, pp. 930-940, June 2012.
    [15] W. Kang, H. Cho, J. Lee, and S. Kang, “A BIRA for memories with an optimal repair rate using spare memories for area reduction,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 11, pp. 2336-2349, Nov. 2014.
    [16] A. J. van de Goor, “Using march tests to test SRAMs,” IEEE Design & Test of Computers, vol. 10, no. 1, pp. 8-14, Mar. 1993.
    [17] S. Y. Kuo and W. K. Fuchs, “Efficient spare allocation in reconfigurable arrays,” IEEE Design and Test of Computers, vol. 4, no. 1, pp. 24-31, June 1987.
    [18] M. K. Qureshi, D. H. Kim, S. Khan, P. J. Nair, and O. Mutlu, “AVATAR: A variable retention time (VRT) aware refresh for DRAM systems,” in Proc. IEEE/IFIP Int’l Conf. on Dependable Systems and Networks, pp. 427-437, June 2015.
    [19] A. Raha, H. Jayakumar, S. Sutar, and V. Raghunathan, “Quality-aware data allocation in approximate DRAM,” in Proc. Int’l Conf. on Compilers Architecture and Synthesis for Embedded Systems (CASES '15), pp. 89-98, 2015.
    [20] T. Hamamoto, S. Sugiura, and S. Sawada “On the retention time distribution of dynamic random access memory (DRAM),” IEEE Trans. Electron Devices, vol. 45, no. 6, pp. 1300-1309, June 1998.
    [21] P. G. Emma, W. R. Reohr, and M. Meterelliyoz, “Rethinking refresh: increasing availability and reducing power in DRAM for cache applications” IEEE Micro, vol. 28, no. 6, pp. 47-56, Nov. 2008.
    [22] S. K. Lu and H. K. Huang, “Adaptive block-based refresh techniques for mitigation of data retention faults and reduction of refresh power,” in Proc. IEEE Int'l Test Conference in Asia (ITC-Asia), pp. 101-106, Sep. 2017.
    [23] Y. Kim, R. Daly, J. Kim, C. Fallin, J. H. Lee, D. Lee, C. Wilkerson, K. Lai, and O. Mutlu, “Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors,” in Proc. 2014 ACM/IEEE 41st Int’l Symp. on Computer Architecture (ISCA), pp. 361-372, June 2014.
    [24] M. L. Bushnell and V. D. Agrawal, “Essentials of electronic testing for digital, memory and mixed-signal VLSI Circuits,” Kluwer Academic Publishers, 2000.
    [25] J. van de Goor and Zai Al-Ars, “Functional memory faults: A formal notation and a taxonomy,” in Proc. IEEE VLSI Test Symp., pp. 281-289, Apr. 2000.
    [26] C. T. Huang, J. R. Huang, C. E Wu, C.W. Wu, and T. Y. Chang, “A programmable BIST core for embedded DRAM,” IEEE Design & Test of Computers, vol. 16, no. 1, pp. 59-70, Jan.-Mar. 1999.
    [27] http://www.hoy-tech.com/
    [28] Syntest Inc., “TurboBIST-memory and built-in self-test generator,” 2011.
    [29] T. H. Wu, P. Y. Chen, M. Lee, B. Y. Lin, C. W. Wu, C. H. Tien, H. C. Lin, H. Chen, C. N. Peng, and M. J. Wang, “A memory yield improvement scheme combining built-in self-repair and error correction codes,” in Proc. Int’l Test Conf., pp. 1-9, Nov. 2012.
    [30] M. Tarr, D. Boudreau, and R. Murphy, “Defect analysis system speeds test and repair of redundant memories,” Electronics, pp. 175-179, Jan. 1984.
    [31] C. S. Hou, Y. X. Chen, J. F. Li, C. Y. Lo, D. M. Kwai, and Y. F. Chou, "A built-in self-repair scheme for DRAMs with spare rows, columns, and bits," in Proc. IEEE Int’l Test Conf. (ITC), pp. 1-7, Nov. 2016.
    [32] Y. C. Yu, C. S. Hou, L.J. Chang, J. F. Li, C. Y. Lo, D. Kwai, and C.W. Wu, “A hybrid ECC and redundancy technique for reducing refresh power of DRAMS,” in Proc. VLSI Test Symp. (VTS), pp. 1-6, Apr. 2013.
    [33] R. W. Hamming, “Error detecting and error correcting codes,” Bell System Tech. J., vol. XXVI, no. 2, pp. 147-160, Apr. 1950.
    [34] M. Y. Hsiao, “A class of optimal minimum odd-weight-column SEC-DED codes,” IBM Journal of Research and Development, vol. 14, no. 4, pp. 395-401, July 1970.
    [35] C. Argyrides, P. Reviriego, and J. A. Maestro, “Using single error correction codes to protect against isolated defects and soft errors,” IEEE Trans. Rel., vol. 62, no. 1, pp. 238-243, Mar. 2013.
    [36] S. K. Lu, C. J. Tsai, and M. Hashizume, “Enhanced built-in self-repair techniques for improving fabrication yield and reliability of embedded memories,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 6, pp. 921-932, June 2016.
    [37] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: A tutorial and survey,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 712–727, Mar. 2006.
    [38] C. H. Stapper, F. M. Armstrong, and K. Saji, “Integrated circuit yield statistics,” in Proc. IEEE, vol. 71, no. 4, pp. 453-470, Apr. 1983.
    [39] I. Koren and Z. Koren, “Defect tolerant VLSI circuits: techniques and yield analysis,” in Proc. IEEE, vol. 86, pp. 1817-1836, Sept. 1998.
    [40] R. F. Huang, J. F. Li, J. C. Yeh, and C. W. Wu, “A simulator for evaluating redundancy analysis algorithms of repairable embedded memories,” in Proc. IEEE Int’l Workshop Mem. Technol., Des. Testing (MTDT), pp. 68–73, July 2002.

    無法下載圖示 全文公開日期 2023/07/30 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE