簡易檢索 / 詳目顯示

研究生: 陳志嘉
Chih-Chia Chen
論文名稱: Nimble Mapping SSD: Leaning State Mapping Strategy to Increase Reliability of 3D TLC Charge-Trap NAND Flash Memory
Nimble Mapping SSD: Leaning State Mapping Strategy to Increase Reliability of 3D TLC Charge-Trap NAND Flash Memory
指導教授: 謝仁偉
Jen-Wei Hsieh
口試委員: 黃元欣
Yuan-Shin Hwang
吳晉賢
Chin-Hsien Wu
陳雅淑
Ya-Shu Chen
學位類別: 碩士
Master
系所名稱: 電資學院 - 資訊工程系
Department of Computer Science and Information Engineering
論文出版年: 2020
畢業學年度: 109
語文別: 英文
論文頁數: 49
中文關鍵詞: 快閃記憶體可靠度
外文關鍵詞: NAND Flash, TLC, Reliability
相關次數: 點閱:208下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報


With the adoption of vertical stacked structure and charge-trap cell design, 3D NAND flash memory decreases the cost-per-bit and becomes the mainstream in the storage market. Each cell in NAND flash memory can endure only limited write and erase operations, because every operation can cause the damage to NAND flash memory and increase the error bits. Since the bit errors are highly related to the data pattern, conventional works such as data randomization distribute the threshold voltage states uniformly to prevent the worse-case data pattern. However, data randomization may miss the opportunity to improve the SSD’s lifetime because the distribution of threshold voltage states is uniform whatever the access behavior. In 3D charge trap NAND flash, as the lower states would incur more right shifting than a cell with higher states, the access behavior may influence the bit errors. In this study, we propose a error mitigation scheme to improve NAND flash memory reliability by utilizing the characteristic of 3D charge trap NAND flash memory to encode the written data asymmetrically. Compared with related work, our proposed Nimble Mapping SSD could increase the reliability with less memory overhead. In the retention error, NMS has similar encoding effect and the experiment results show that the BER is averagely 1.2% lower than related work. Furthermore, NMS can decrease the BER of program variation by an average of 17.1%.

1 Introduction 4 2 Background and Motivation 7 2.1 3D NAND Flash . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.2 Retention Errors . . . . . . . . . . . . . . . . . . . . . . . . . 8 2.3 Write Disturbance . . . . . . . . . . . . . . . . . . . . . . . . 8 2.4 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 3 Nimble Mapping SSD 13 3.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 3.2 Strategy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 3.3 Request Handler . . . . . . . . . . . . . . . . . . . . . . . . . 17 3.4 Bit Flip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 3.5 Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 4 Experiment 27 4.1 Experiment Setup . . . . . . . . . . . . . . . . . . . . . . . . . 27 4.2 Experiment Result . . . . . . . . . . . . . . . . . . . . . . . . 29 4.2.1 The Statistic of Vth States . . . . . . . . . . . . . . . . 29 4.2.2 BER . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 5 Conclusion 40

[1] S. Tehrani, J. Pak, M. Randolph, Y. Sun, S. Haddad, E. Maayan, and
Y. Betser, “Advancement in Charge-Trap Flash memory technology,”
in 2013 5th IEEE International Memory Workshop, 2013, pp. 9–12.
[2] H. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata,
M. Kito, Y. Fukuzumi, M. Sato, Y. Nagata, Y. Matsuoka,
Y. Iwata, H. Aochi, and A. Nitayama, “Bit Cost Scalable
Technology with Punch and Plug Process for Ultra High Density
Flash Memory,” in 2007 IEEE Symposium on VLSI Technology.
Kyoto, Japan: IEEE, Jun. 2007, pp. 14–15. [Online]. Available:
http://ieeexplore.ieee.org/document/4339708/
[3] J. Jang, H.-S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J.-H.
Jeong, B.-K. Son, D. W. Kim, K. Kim, J.-J. Shim, J. S. Lim, K.-H.
Kim, S. Y. Yi, J.-Y. Lim, D. Chung, H.-C. Moon, S. Hwang, J.-W.
Lee, Y.-H. Son, U.-I. Chung, and W.-S. Lee, “Vertical cell array using
TCAT(terabit cell array transistor) technology for ultra high density
nand flash memory,” p. 2.
[4] Y.-C. King, T.-J. King, and C. Hu, “Charge-trap memory device
fabricated by oxidation of si/sub 1-x/ge/sub x/,” IEEE Transactions
on Electron Devices, vol. 48, no. 4, pp. 696–700, Apr. 2001. [Online].
Available: http://ieeexplore.ieee.org/document/915694/
[5] H. Kim, S.-J. Ahn, Y. G. Shin, K. Lee, and E. Jung, “Evolution
of NAND Flash Memory: From 2D to 3D as a Storage Market
Leader,” in 2017 IEEE International Memory Workshop (IMW).
Monterey, CA, USA: IEEE, May 2017, pp. 1–4. [Online]. Available:
http://ieeexplore.ieee.org/document/7939081/
[6] N. Mielke, T. Marquart, N. Wu, J. Kessenich, H. Belgal, E. Schares,
F. Trivedi, E. Goodness, and L. R. Nevill, “Bit error rate in nand flash
memories,” in 2008 IEEE International Reliability Physics Symposium.
Phoenix, AZ, USA: IEEE, Apr. 2008, pp. 9–19. [Online]. Available:
http://ieeexplore.ieee.org/document/4558857/
[7] Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu,
“HeatWatch: Improving 3D NAND Flash Memory Device Reliability
by Exploiting Self-Recovery and Temperature Awareness,” in 2018
IEEE International Symposium on High Performance Computer
Architecture (HPCA). Vienna: IEEE, Feb. 2018, pp. 504–517.
[Online]. Available: http://ieeexplore.ieee.org/document/8327033/
[8] W. Y. Choi, H. S. Kwon, Y. J. Kim, B. Lee, H. Yoo, S. Choi,
G.-S. Cho, and S.-K. Park, “Influence of Intercell Trapped Charge
on Vertical NAND Flash Memory,” IEEE Electron Device Letters,
vol. 38, no. 2, pp. 164–167, Feb. 2017. [Online]. Available:
http://ieeexplore.ieee.org/document/7792672/
[9] Y. Cai, E. F. Haratsch, O. Mutlu, and K. Mai, “Error patterns in MLC
NAND flash memory: Measurement, characterization, and analysis,”
in 2012 Design, Automation & Test in Europe Conference & Exhibition
(DATE). Dresden: IEEE, Mar. 2012, pp. 521–526. [Online]. Available:
http://ieeexplore.ieee.org/document/6176524/
[10] J. Cha and S. Kang, “Data Randomization Scheme for Endurance
Enhancement and Interference Mitigation of Multilevel Flash Memory
Devices,” ETRI Journal, vol. 35, no. 1, pp. 166–169, Feb. 2013.
[Online]. Available: http://doi.wiley.com/10.4218/etrij.13.0212.0273
[11] H. Maejima, K. Kanda, S. Fujimura, T. Takagiwa, S. Ozawa,
J. Sato, Y. Shindo, M. Sato, N. Kanagawa, J. Musha, S. Inoue,
K. Sakurai, N. Morozumi, R. Fukuda, Y. Shimizu, T. Hashimoto,
X. Li, Y. Shimizu, K. Abe, T. Yasufuku, T. Minamoto, H. Yoshihara,
T. Yamashita, K. Satou, T. Sugimoto, F. Kono, M. Abe, T. Hashiguchi,
M. Kojima, Y. Suematsu, T. Shimizu, A. Imamoto, N. Kobayashi,
M. Miakashi, K. Yamaguchi, S. Bushnaq, H. Haibi, M. Ogawa,
Y. Ochi, K. Kubota, T. Wakui, D. He, W. Wang, H. Minagawa,
T. Nishiuchi, H. Nguyen, K.-H. Kim, K. Cheah, Y. Koh, F. Lu,
V. Ramachandra, S. Rajendra, S. Choi, K. Payak, N. Raghunathan,
S. Georgakis, H. Sugawara, S. Lee, T. Futatsuyama, K. Hosono,
N. Shibata, T. Hisada, T. Kaneko, and H. Nakamura, “A 512Gb
3b/Cell 3D flash memory on a 96-word-line-layer technology,” in 2018
IEEE International Solid - State Circuits Conference - (ISSCC). San
Francisco, CA: IEEE, Feb. 2018, pp. 336–338. [Online]. Available:
http://ieeexplore.ieee.org/document/8310321/
[12] Y. Pan, G. Dong, and T. Zhang, “Exploiting Memory Device Wear-out
Dynamics to Improve NAND Flash Memory System Performance,” in
Proceedings of the 9th USENIX Conference on File and Stroage Technologies, ser. FAST’11. USA: USENIX Association, 2011, p. 18.
[13] K. Lee, M. Kang, S. Seo, D. Kang, S. Kim, D. H. Li, and H. Shin, “Activation Energies $(E {a})$ of Failure Mechanisms in Advanced NAND
Flash Cells for Different Generations and Cycling,” IEEE Transactions
on Electron Devices, vol. 60, no. 3, pp. 1099–1107, Mar. 2013. [Online].
Available: http://ieeexplore.ieee.org/document/6461401/
[14] N. Mielke, H. Belgal, I. Kalastirsky, P. Kalavade, A. Kurtz, Q. Meng,
N. Righos, and J. Wu, “Flash EEPROM Threshold Instabilities due to
Charge Trapping During Program/Erase Cycling,” IEEE Transactions
on Device and Materials Reliability, vol. 4, no. 3, pp. 335–344, Sep. 2004.
[Online]. Available: http://ieeexplore.ieee.org/document/1369194/
[15] Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu, “Improving 3D
NAND Flash Memory Lifetime by Tolerating Early Retention Loss and
Process Variation,” Proceedings of the ACM on Measurement and Anal43
ysis of Computing Systems, vol. 2, no. 3, pp. 1–48, Dec. 2018. [Online].
Available: http://dl.acm.org/citation.cfm?doid=3301416.3224432
[16] R. Cao, J. Wu, W. Yang, J. Chen, and X. Jiang, “Program/Erase Cycling Enhanced Lateral Charge Diffusion in TripleLevel Cell Charge-Trapping 3D NAND Flash Memory,” in 2019
IEEE International Reliability Physics Symposium (IRPS). Monterey, CA, USA: IEEE, Mar. 2019, pp. 1–4. [Online]. Available:
https://ieeexplore.ieee.org/document/8720412/
[17] C. Kim, J. Ryu, T. Lee, H. Kim, J. Lim, J. Jeong, S. Seo, H. Jeon,
B. Kim, I. Lee, D. Lee, P. Kwak, S. Cho, Y. Yim, C. Cho, W. Jeong,
K. Park, J.-M. Han, D. Song, K. Kyung, Y.-H. Lim, and Y.-H. Jun,
“A 21 nm High Performance 64 Gb MLC NAND Flash Memory With
400 MB/s Asynchronous Toggle DDR Interface,” IEEE Journal of
Solid-State Circuits, vol. 47, no. 4, pp. 981–989, Apr. 2012. [Online].
Available: http://ieeexplore.ieee.org/document/6151872/
[18] Y. Deguchi and K. Takeuchi, “Word-line batch Vth modulation
of TLC NAND flash memories for both write-hot and cold
data,” in 2017 IEEE Asian Solid-State Circuits Conference (ASSCC). Seoul: IEEE, Nov. 2017, pp. 161–164. [Online]. Available:
http://ieeexplore.ieee.org/document/8240241/
[19] W. Liu, F. Wu, M. Zhang, Y. Wang, Z. Lu, X. Lu, and
C. Xie, “Characterizing the Reliability and Threshold Voltage
Shifting of 3D Charge Trap NAND Flash,” in 2019 Design,
Automation & Test in Europe Conference & Exhibition (DATE).
Florence, Italy: IEEE, Mar. 2019, pp. 312–315. [Online]. Available:
https://ieeexplore.ieee.org/document/8714941/
[20] Y. Luo, Y. Cai, S. Ghose, J. Choi, and O. Mutlu, “WARM: Improving
NAND flash memory lifetime with write-hotness aware retention
management,” in 2015 31st Symposium on Mass Storage Systems and
Technologies (MSST). Santa Clara, CA, USA: IEEE, May 2015, pp. 1–
14. [Online]. Available: http://ieeexplore.ieee.org/document/7208284/
[21] N. Papandreou, H. Pozidis, T. Parnell, N. Ioannou, R. Pletka, S. Tomic,
P. Breen, G. Tressler, A. Fry, and T. Fisher, “Characterization
and Analysis of Bit Errors in 3D TLC NAND Flash Memory,”
in 2019 IEEE International Reliability Physics Symposium (IRPS).
Monterey, CA, USA: IEEE, Mar. 2019, pp. 1–6. [Online]. Available:
https://ieeexplore.ieee.org/document/8720454/
[22] D. Narayanan, A. Donnelly, and A. Rowstron, “Write off-loading: Practical power management for enterprise storage,” vol. 4, no. 3, pp. 1–23.
[Online]. Available: https://dl.acm.org/doi/10.1145/1416944.1416949
[23] L.-P. Chang, “Hybrid solid-state disks: Combining heterogeneous
NAND flash in large SSDs,” in 2008 Asia and South Pacific Design
Automation Conference, 2008, pp. 428–433

無法下載圖示 全文公開日期 2023/10/29 (校內網路)
全文公開日期 2046/10/29 (校外網路)
全文公開日期 2046/10/29 (國家圖書館:臺灣博碩士論文系統)
QR CODE