簡易檢索 / 詳目顯示

研究生: 黃佐宇
Zuo-Yu Huang
論文名稱: 植基於非規則拓樸架構之功率感知式晶片網路合成流程
Power-aware Synthesis Flow for Network-on-Chip based on Irregular Topologies
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 張延任
Yen-Jen Chang
楊佳玲
Chia-Lin Yang
許孟超
Mon-Chau Shie
陳省隆
Hsing-Lung Chen
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2007
畢業學年度: 95
語文別: 英文
論文頁數: 42
中文關鍵詞: 網路晶片低功率
外文關鍵詞: Network-on-Chip, lowpower
相關次數: 點閱:194下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 對於單晶片系統之設計,近年來提出一個發展平台稱為晶片網路。
    目前研究人員在一般平行計算之領域,大部份都是研究傳統規則性之拓
    樸,例如: mesh 及 hyper-cube。 然而大部份單晶片系統是針對應用
    之特殊需求而特別來製定的。
    通常是由各種異質元件在非規則性之拓樸下作傳送通訊。此篇論文
    針對網路晶片架構提出一個完整的合成流程,發展平台分成下列幾個主
    要的步驟: 把工作分配到適當的處理元件(Task assignment),在拓樸
    中把處理元件放置在最適當的位置(Node mapping),探索最合適之拓樸
    (Topology exploration) , 以及的路由路徑分配演算法(TRAIN
    algorithm)。
    本篇論文主要貢獻是提出一個能自動分配工作到適當的處理元
    件,分配處理元件到拓樸到適當的位置及探索一個最佳拓樸之低功率網
    路晶片系統平台,使的功率消耗能有效的減少。由實驗結果顯示所提出
    來的方法找出來之拓樸比Mesh 拓樸有效平均減少29%。針對四種視訊
    應用,本論文提出的方法可以針對MPEG4,VOPD,PIP,和MWD 之應用分別
    減少23%,29%,13%,和20%。


    Network-on-Chip (NoC) has recently been proposed as a practical development platform for Systems-on-Chip (SoC) designs. Most researchers advocate the use of traditional regular networks like mesh and hyper-cube in general-purpose parallel computing.
    However, most SoC platforms are special-purpose tailored to the domain-specific
    requirements of their applications. They are usually built from a large diversity of heterogeneous components which communicate in irregular style.
    This thesis illustrates a complete synthesis flow for customized NoC architectures, that partitions the development work into major steps(task assignment, node mapping, topology exploration, and routing algorithm). The major contribution of this thesis is to propose a framework of low power NoC system which automatically assigns task to various types of PE, maps PEs to the node of topology and selects the nearly optimal topology to reduce the power consumption. Experimental results show that the proposed method can reduce the power consumption by 29 % on average compared to mesh. For four video applications, our proposed method can reduce the power consumption 23%, 29%, 13%, and 20% on MPEG4, VOPD, PIP, and MWD, respectively.

    Table of Contents iv List of Tables v List of Figures vi Abstract vii 1 Introduction 1 2 Related Work 4 3 Significance of Irregular NoC Topology 7 4 Platform Description 10 4.1 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 4.2 Power Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 4.3 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . 12 5 Design flow and mapping algorithms 15 5.1 Task Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17 5.2 Node Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 5.3 Topology Exploration. . . . . . . . . . . . . . . . . . . . . . . . . 20 5.4 Routing Path Allocation . . . . . . . . . . . . . . . . . . . . . . . . .21 6 Experimental Results 24 7 Conclusion 29 Bibliography 30

    [1] N. Banerjee, P. Vellanki, and K. S. Chatha, A Power and Performance Model
    for Network-on-Chip Architectures, Proceedings of Design, Automation and Test
    in Europe Conference and Exhibition (DATE), vol. 2, Feb. 2004, pp. 1250–1255.

    [2] L. Benini and G. De Micheli, Networks on chips: A new soc paradigm, IEEE
    Computer 35 (2002), 70–78.

    [3] Yen-Sheng Chang, An architectural co-synthesis algorithm for energy-aware
    network-on-chip design, Master’s thesis, National Taiwan University, July 2005.

    [4] J.-H. Chen and H.-C. Chi, Design and implementation of a routing switch for onchip interconnection networks, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, Aug. 2004, pp. 392–395.

    [5] H.-C. Chi and J.-H. Chen, A deadlock-free routing scheme for
    interconnection networks with irregular topologies, Proceedings of International Conference on Parallel and Distributed Systems, Dec. 1997, pp. 88–95.

    [6] H.-C. Chi and W.-J. Wu, Routing tree construction for interconnection network with irregular topologies, Proceedings of Eleventh Euromicro Conference on Parallel, Distributed and Network-Based Processing, no. 11, Feb. 2003, pp. 157–164.

    [7] R. P. Dick, D. L. Rhodes, and W. Wolf, Tgff: Task graphs for free, Proceedings of the Sixteen International Workshop on Hardware/Software Codesign
    (CODES/CASHE ’98), Mar. 1998, pp. 97–101.

    [8] A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Oeberg, M. Millberg, and
    D. Lindquist, Network on a chip: An architecture for billion transistor era, Proceedings of IEEE NorChip (New York), Nov. 2000, pp. 24–31.

    [9] Jingcao Hu and Radu Marculescu, Energy- and performance-aware mapping for
    regular noc architectures, IEEE Transactions on Computer Aided Design of Integrated circuits and systems (2005), 551–562.

    [10] Y. Hu, H. Chen, Y. A. Chien, and C.-K. Cheng, Physical synthesis of energyefficient networks-on-chip through topology exploration and wire style optimization, Proceedings of International Conference on Computer Design (ICCD), Oct.2005, pp. 111–118.

    [11] S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg,
    K.Tiensyrja, and A. Hemani, A network on chip architecture and design methodology, Proceedings of IEEE Computer Society Annual Symposium on VLSI
    (ISVLSI), Apr. 2002, pp. 25–26.

    [12] S. Murali and G. De Micheli, Bandwidth-constrained mapping of cores onto noc architectures, Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), vol. 2, Feb. 2004, pp. 896–901.

    [13] S Murali and G. De Micheli, Sunmap: A tool for automatic topology selection and generation for nocs, Proceeding of 41th Design Automation Conference (DAC), Jul. 2004, pp. 914–919.

    [14] P.Guerrier and A.Greiner, A generic architecture for on-chip packet-switched interconnections, Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar. 2000, pp. 250–256.

    [15] J. B. Saxe, Embeddability of weighted graphs in k-space is strongly np-hard, Proceedings of 17th Allerton Conference on Computers, Control and Computing,1979, pp. 480–489.

    [16] M. D. Schroeder, A. D. Birrell, M. Burrows, H. Murray, R. M. Needham, T. L. Rodeheffer, E. H. Satterthwaite, and C. P. Thacker, Autonet: A high-speed,
    self-configuring local area network using point-to-point links, IEEE Journal on
    Selected Areas in Communications 13 (1991), no. 8, 1318–1335.

    [17] D. Shin and J. Kim, Power-aware communication optimization for networkon-
    chips with voltage scalable links, Proceedings of the 2nd IEEE/ACM/IFIP
    international conference on Hardware/software codesign and system synthesis
    (CODES+ISSS), Sep. 2004, pp. 170–175.

    [18] K. Srinivasan and K. S. Chatha, Isis: A genetic algorithm based technique for custom on-chip interconnection network synthesis, Proceedings of International Conference on Embedded Systems Design (VLSID), Jan. 2005, pp. 623–628.

    [19] W. H. Wolf, A power and performance model for network-on-chip, Proceedings
    of the IEEE 82 (1994), 967–989.

    [20] W.Qiao and L. M. Ni, Adaptive routing in irregular networks using cut-through switches, Proceedings of International Conference on Parallel Processing, vol. 1, Aug. 1996, pp. 52–60.

    QR CODE