簡易檢索 / 詳目顯示

研究生: 張百濤
Pai-Tao Chang
論文名稱: 提升自旋轉移矩磁阻式記憶體可靠度之協同式錯誤修正碼技術
Synergistic Error Correction Code Techniques for Reliability Enhancement of STT-MRAM
指導教授: 呂學坤
Shyue-Kung Lu
口試委員: 呂學坤
Shyue-Kung Lu
李建模
Chien-Mo Li
黃俊郎
Jiun-Lang Huang
黃錫瑜
Shi-Yu Huang
王乃堅
Nai-Jian Wang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2023
畢業學年度: 112
語文別: 中文
論文頁數: 66
中文關鍵詞: 自旋轉移矩磁阻式記憶體錯誤修正碼容錯可靠度壽命內容循址記憶體
外文關鍵詞: STT-MRAM, Error Correction Code, Fault Tolerance, Reliability, Lifetime, Content Addressable Memory
相關次數: 點閱:85下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 近年來科技的快速發展帶動人們對於各類型消費性電子產品的需求急遽提升,傳統的嵌入式記憶體逐漸面臨到物理尺寸的限制以及能耗的增長等嚴峻的挑戰。因此目前有許多新型態的非揮發式記憶體被開發出來以解決這些問題,其中自旋轉移矩磁阻式記憶體被視為目前最有潛力可以取代傳統記憶體的新興記憶體,它具有快速的讀寫速度、良好的儲存密度、極低的漏電功率以及優異的耐用性等優點。然而由於其物理結構和內在特性的影響,自旋轉移矩磁阻式記憶體在使用的過程中可能會發生永久性的故障或是暫時性的錯誤,導致自旋轉移矩磁阻式記憶體系統的可靠度降低。
    有鑒於此,本篇論文針對了自旋轉移矩磁阻式記憶體的可靠度問題提出了協同式錯誤修正碼技術,該技術主要包含基於正交拉丁方陣碼建構的錯誤修正碼以及寫後驗證操作兩部分,使記憶體系統能夠容忍線上使用時產生的永久性錯誤以及暫時性錯誤。基於正交拉丁方陣碼建構的錯誤修正碼具有低編解碼時間以及低面積成本的優點,能夠在提升自旋轉移矩磁阻式記憶體可靠度的同時,協助其保持快速的讀寫速度和良好的儲存密度;寫後驗證操作能夠在記憶體系統寫入資料時產生額外的保護資訊,這些保護資訊能夠在錯誤修正碼進行解碼之前先行消除一部分或是全部的永久性故障,減少錯誤數量超過錯誤修正碼保護能力的情形,進一步提升自旋轉移矩磁阻式記憶體系統的可靠度。
    本論文實現了協同式錯誤修正碼技術所需的硬體電路,並利用Matlab進行可靠度與壽命模型的推導與模擬。實驗結果顯示1 GB 大小的STT-MRAM 配備保護能力為二的協同式錯誤修正碼技術可在系統運行十萬小時後保有 99.9 % 的可靠度;配備保護能力為三的協同式錯誤修正碼技術可在系統運行百萬小時後保有 99.8 % 的可靠度。電路模擬結果顯示額外增加的硬體成本約為3%。


    In recent years, the rapid development of fabrication technology has driven demands for various electronics products. However, traditional embedded memories are facing many severe challenges such as physical size limitations and increased energy consumption. Therefore, many new types of non-volatile memories have been developped to solve these problems. Among them, spin-transfer torque magnetoresistive random access memory (STT-MRAM) is considered as the most promising emerging memory and is the best candidate for replacing traditional memory. It has the advantages of fast read and write speed, high storage density, extremely low leakage power, and outstanding durability. However, due to the influences of its physical structure and inherent characteristics, STT-MRAM suffers from permanent faults or temporary errors during online usage. The reliability of STT-MRAM is thus significantly threatened.
    To cure this dilemma, the synergistic error correction code technique is proposed in this thesis to deal with the reliability issue of STT-MRAM. It mainly includes two phases: error correction code based on orthogonal Latin square (OLS) codes and the verify-after-write operations, which enable the memory system to tolerate permanent and temporary errors. The error correction code based on OLS codes has the advantages of lower encoding and decoding latencies and less area cost. It can enhance the reliability of STT-MRAM while maintaining faster read/write speeds and higher storage density. The verify-after-write operations generate additional information for protection after the write cycles. The stored syndrome information can help to deactivate the effects of permanent errors before the codeword is decoded. Therefore, the probability of errors exceeding the protection capacity of the adopted error correction code is greatly reduced. The reliability of STT-MRAM thus can be raised significantly.
    We have also implemented the hardware architecture of the proposed synergistic error correction code technique. Experimental results show that for a 1-GB STT-MRAM equipped with the protection capability of two can maintain 99.9% reliability after 105 operation hours. When the protection capability is three, 99.8% reliability can be maintained after 106 operation hours. The additional hardware cost is about 3%.

    致謝 I 摘要 II Abstract III 目錄 V 圖目錄 VIII 表目錄 XI 第一章 簡介 1 1.1 背景及動機 1 1.2 組織架構 5 第二章 磁阻式記憶體之基本介紹 6 2.1 拴扣型磁阻式記憶體 (Toggle-MRAM) 6 2.2 自旋轉移矩磁阻式記憶體 (STT-MRAM) 8 2.3 自旋軌道矩磁阻式記憶體 (SOT-MRAM) 10 第三章 自旋轉移矩磁阻式記憶體之工作原理與應用 13 3.1 自旋轉移矩磁阻式記憶體的寫入與讀取操作 13 3.1.1 寫入操作 13 3.1.2 讀取操作 14 3.2 自旋轉移矩磁阻式記憶體的應用 15 3.2.1 高效能儲存裝置 15 3.2.2 記憶體內運算 15 3.2.3 人工智慧應用 18 第四章 自旋轉移矩磁阻式記憶體之錯誤檢查與修正技術 20 4.1 功能性故障模型 20 4.1.1 傳統記憶體之通用故障模型 20 4.1.2 自旋轉移矩磁阻式記憶體之特定故障模型 22 4.2 錯誤修正碼技術 24 4.2.1 漢明碼 24 4.2.2 BCH碼 25 4.2.3 低密度奇偶檢查碼 29 4.2.4 正交拉丁方陣碼 30 第五章 協同式錯誤修正碼技術 32 5.1 協同式錯誤修正碼技術介紹 32 5.2 協同式錯誤修正碼技術之硬體架構 33 5.2.1 整體硬體架構 33 5.2.2 錯誤修正碼解碼器 34 5.2.3 錯誤特徵定址記憶體技術相關模組 35 5.3 協同式錯誤修正碼技術之操作流程 36 5.3.1 寫入流程 36 5.3.2 讀取流程 38 5.4 操作範例 39 5.4.1 寫入範例 39 5.4.2 讀取範例 42 第六章 實驗結果 45 6.1 模擬流程 45 6.2 可靠度分析 46 6.3 預期壽命改善分析 49 6.4 無法修復之位元錯誤率分析 51 6.5 硬體成本分析 54 6.6 超大型積體電路實現 61 第七章 結論與未來展望 63 7.1 結論 63 7.2 未來展望 63 參考文獻 64

    [1] S. Aritome, “NAND flash memory technologies,” IEEE Press Series on Microelectronics System, Hoboken, NJ, USA: Wiley, pp. 17–36, Dec. 2015.
    [2] P. Girard, Y. Cheng, A. Virazel, W. Zhao, R. Bishnoi and M. B. Tahoori, "A survey of test and reliability solutions for magnetic random access memories," Proceedings of the IEEE, vol. 109, no. 2, pp. 149-169, Feb. 2021.
    [3] H. S. P. Wong, H. Y. Lee, S. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, F. T. Chen, and M. J. Tsai, “Metal-Oxide RRAM,” Proceedings of the IEEE, vol. 100, no. 6, pp. 1951-1970, June 2012.
    [4] N. Papandreou, H. Pozidis, A. Pantazi, A. Sebastian, M. Breitwischt, C. Lamt, and E. Eleftheriou, “Programming algorithms for multilevel phase-change memory,” in Proc. IEEE Int’l Symp. on Circuits and Systems, pp. 329–332, May 2011.
    [5] S. Jain, A. Ranjan, K. Roy, and A. Raghunathan, “Computing in memory with spin-transfer torque magnetic RAM,” IEEE Trans. on Very Large Scale Integr. (VLSI) Syst., vol. 26, no. 3, pp. 470–483, Mar. 2018.
    [6] R. Bishnoi, F. Oboril, and M. B. Tahoori, “Design of defect and fault-tolerant nonvolatile spintronic flip-flops,” IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 25, no. 4, pp. 1421–1432, Apr. 2017.
    [7] S. M. Nair, R. Bishnoi, M. S. Golanbari, F. Oboril, F. Hameed, and M. B. Tahoori, “VAET-STT: STT-MRAM analysis and design space exploration tool,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 37, no. 7, pp. 1396–1407, July 2017.
    [8] R. Bishnoi, M. Ebrahimi, F. Oboril, and M. B. Tahoori, “Improving write performance for STT-MRAM,” IEEE Trans. Magn., vol. 52, no. 8, pp. 1–11, Aug. 2016.
    [9] W.S. Zhao, Y. Zhang, T. Devolder, J.O. Klein, D. Ravelosona, C. Chappert and P. Mazoyer, “Failure and reliability analysis of STT-MRAM,” Microelectronics and Reliability, vol. 52, no. 9-10, Sep. 2012.
    [10] L. Zhang, A. Todri-Sanial, W. Kang, Y. Zhang, L. Torres, Y. Cheng and W. Zhao, “Quantitative evaluation of reliability and performance for STT-MRAM,” in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1150-1153, May 2016.
    [11] M. Y. Hsiao, D. C. Bossen and R. T. Chien, "Orthogonal latin square codes," IBM Journal of Research and Development, vol. 14, no. 4, pp. 390-394, July 1970.
    [12] C. L. Su, et al., “Write disturbance modeling and testing for MRAM,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 3, pp. 277-288, Feb. 2008.
    [13] Slonczewski, J. C. “Current-driven excitation of magnetic multilayers”. J. Magn. Magn. Mater. 159, pp. L1–L7, June 1996.
    [14] J. Chen, K. Liu, X. Guo, P. Girard, and Y. Cheng, “DOVA: A dynamic overwriting voltage adjustment for STT-RAM L1 cache,” in Proc. 21st Int’l. Symp. Qual. Electron. Design (ISQED), pp. 1–6, Mar. 2020.
    [15] 陳柏全, 夢幻記憶體:非揮發性的磁性記憶體, 科儀新知211期, pp.24-31, 國家實驗研究院儀器科技研究中心
    [16] K. Garello et al., “SOT-MRAM 300 mm integration for low power and ultrafast embedded memories,” in Proc. IEEE Symp. VLSI Circuits, pp. 81–82, June 2018.
    [17] D. D. Tang and Y. J. Lee, Magnetic Memory—Fundamentals and Technology. Cambridge, U.K.: Cambridge Univ. Press, 2010.
    [18] Z. Sun, X. Bi, H. H. Li, et al., “Multi retention level STT-RAM cache designs with a dynamic refresh scheme,” in Proc. 44th Annu. IEEE/ACM Int. Symp. Microarchit. (MICRO), pp. 329–338, Dec. 2011.
    [19] C. Smullen, V. Mohan, A. Nigam, et al., “Relaxing nonvolatility for fast and energy-efficient STT-RAM caches,” in Proc. IEEE 17th Int. Symp. High Perform. Comput. Archit., pp. 50–61, Feb. 2011.
    [20] J. Wang, Y. Tim, W.F. Wong, et al., “A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores,” in Proc. 19th Asia South Pacific Des. Autom. Conf., pp. 610–615, Jan. 2014.
    [21] W. A. Wulf and S. A. McKee, “Hitting the memory wall: implications of the obvious,” ACM SIGARCH Computer Architecture News, vol. 23, no. 1, pp. 20-24, Mar. 1995.
    [22] Z. He, S. Angizi and D. Fan, "Exploring STT-MRAM based in-memory computing paradigm with application of image edge extraction," in Proc. IEEE International Conference on Computer Design (ICCD), pp. 439-446, Nov. 2017.
    [23] M. Zhou, H. Du, Y. Guo and H. Cai, "Power-aware quantization in analog in-memory computing with STT-MRAM macro," IEEE Trans. on Magnetics, vol. 59, no. 11, pp. 1-5, Nov. 2023.
    [24] S. S. K V, P. Raju R, S. Gowda and M. S. Sunita, "A novel voltage based in-memory computing architecture using STT-MRAM," in Proc. IEEE 8th Int’l Conf. for Convergence in Technology (I2CT), pp. 1-7, Apr. 2023.
    [25] L. Xie, et al., “Scouting Logic: A novel memristor-based logic design for resistive computing,” in Proc. IEEE Comput. Soc. Annu. Symp. VLSI (ISVLSI), pp. 176–181, July 2017.
    [26] C. Munch, R. Bishnoi, and M. B. Tahoori, “Reliable in-memory neuro-morphic computing using spintronics,” in Proc. 24th Asia South Pacific Des. Autom. Conf., pp. 230–236, Jan. 2019.
    [27] R. Dekker, F. Beenker, and L. Thijssen, “Fault modeling and test algorithm development for static random-access memories,” in Proc. IEEE Int’l Test Conf., pp. 343–352, Sep. 1988.
    [28] K. Munira, W. H. Butler, and A. W. Ghosh, “A quasi-analytical model for energy-delay-reliability tradeoff studies during write operations in a perpendicular STT-RAM cell,” IEEE Trans. Electron Devices, vol. 59, no. 8, pp. 2221–2226, Aug. 2012.
    [29] A. Raychowdhury, “Pulsed READ in spin transfer torque (STT) memory bitcell for lower READ disturb,” in Proc. IEEE/ACM Int’l Symposium on Nanoscale Architectures, pp. 34–35, July 2013.
    [30] J. Yeh, K. Cheng, Y. Chou and C. Wu, "Flash memory testing and built-in self-diagnosis with march-like test algorithms," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 6, pp. 1101-1113, June 2007.
    [31] S. Lin and D. J. Costello, Error control coding, 2nd ed., Englewood Cliffs, NJ: Pearson Prentice Hall, 2014.
    [32] R. Micheloni et al., "A 4Gb 2b/cell NAND flash memory with embedded 5b BCH ECC for 36MB/s system read throughput," in Proc. IEEE Int’l Solid State Circuits Conference - Digest of Technical Papers, pp. 497-506, Feb. 2006.
    [33] X. Youzhi, “Implementation of Berlekamp-Massey algorithm without inversion,” IEE Proc. Communications, Speech and Vision, vol. 138, no. 3, pp. 138-140, June 1991.
    [34] Y. Sugiyama, M. Kasahara, S. Hirasawa, and T. Namekawa, “A method for solving key equation for decoding Goppa codes,” Information and Control, pp. 87-99, Jan. 1975.
    [35] T. J. Richardson, M. A. Shokrollahi and R. L. Urbanke, "Design of capacity-approaching irregular low-density parity-check codes," IEEE Trans. on Information Theory, vol. 47, no. 2, pp. 619-637, Feb. 2001.
    [36] R. Motwani, Z. Kwok, and S. Nelson, "Low density parity check (LDPC) codes and the need for stronger ECC," in Proc. Flash Memory Summit, Aug. 2011.
    [37] A. Das and N. A. Touba, "Online correction of hard errors and soft errors via one-step decodable OLS codes for emerging last level caches," in Proc. IEEE Latin American Test Symposium (LATS), pp. 1-6, Mar. 2019.

    無法下載圖示 全文公開日期 2027/01/30 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE