簡易檢索 / 詳目顯示

研究生: 楊士賢
Shih-Hsien Yang
論文名稱: 加強第一層冗餘導通孔插入率之奈米層級標準元件庫
Nanometer-Scale Standard Cell Library for Enhanced Redundant Via1 Insertion Rate
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 許孟超
Mon-Chau Shie
林昌鴻
Chang-Hong Lin
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2010
畢業學年度: 99
語文別: 英文
論文頁數: 33
中文關鍵詞: 標準元件可製造性設計電路佈局
外文關鍵詞: Standard-cell, design for manufacturability, layout
相關次數: 點閱:287下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

儘管製程技術不斷的快速進步,奈米層級的半導體製造技術仍然無法有效解決製作導通孔(via)失敗的問題,增加冗餘導通孔(redundant via)是典型的方法可以提高晶圓良率和可靠性。在以元件單位為基礎(cell-based)的設計方式上要增加第一層冗餘導通孔插入率(redundant via1 insertion rate),標準元件(standard cell)的設計是不可或缺的因素。本篇論文根據傳統的雙倍導通孔(double-via)以及新式的矩型導通孔(rectangle-via),研發出一個高效率的標準元件庫(standard cell library)檢查演算法,用來找出可以增加面積的第一層金屬繞線層腳位(metal1 pins)並進行修改,此外安排腳位使用交錯的佈置方式以增加繞線空間,這樣就能有效加強第一層冗餘導通孔插入率。我們設計的標準元件庫不但很容易實施,並且適用於目前所有商業的繞線法。
為了驗證以上設計方法的效果,我們根據這些方法修改原本六五奈米製程的標準元件庫,並且跟現今先進的技術進行比較。實驗結果證實,我們設計的標準元件庫不但增加整體冗餘導通孔數達20.5%,增加第一層冗餘導通孔數達33.3%,並且減少執行時間高達44.8%。除此之外,針對傳統的雙倍導通孔方式,平均提高第一層冗餘導通孔插入率達14.8%;另外針對新式的矩型導通孔方式,我們達成100%的第一層冗餘導通孔插入率。


Despite the rapid advances in process technology, via failure is still problematic in nanometer-scale semiconductor manufacturing. Adding redundant vias is the typical approach for improving yield and reliability. Standard cells are essential for increasing the insertion rate of redundant via1s in cell-based designs. This study proposes an efficient library check and staggered pin arrangement approach that considers different configurations of redundant vias such as double-via and rectangle-via in order to increase redundant via1 insertion rate. Moreover, the proposed standard cell library is easily implemented in all currently available routers. The experimental results reveal that the proposed library improves total inserted redundant vias, total inserted redundant via1s, and total run time by 20.5%, 33.3%, and 44.8%, respectively. Compared to conventional approach, the average via1 insertion rate in double-via pattern is improved by 14.8%, and the via1 insertion rate in rectangle-via pattern is achieved at 100%.

Table of Contents List of Tables List of Figures Abstract 1 Introduction 1.1 Motivation 1.2 Contributions of This Study 2 Preliminaries 2.1 Double-via and Rectangle-via Occupied Resource Formulation 2.2 Redundant Via-aware SC Library Design Flow 3 Proposed Methods 3.1 Redundant Via Library Check (RVLC) Algorithm 3.2 Redundant Via SC Layout Methodology 3.3 DVAR and RVAR 4 Experimental Results 4.1 DVAR with RVALIB 4.2 RVAR with RVALIB 5 Conclusions Bibliography

[1] T. Fujimaki, K. Higashi, N. Nakamura, N. Matsunaga, K. Yoshida, N. Miyawaki, M. Hatano, M. Hasunuma, J. Wada, T. Nishioka, K. Akiyama, H. Kawashima, Y. Enomoto, T. Hasegawa, K. Honda, M. Iwai, S. Yamada, and F. Matsuoka, Mechanism of moisture uptake induced via failure and its impact on 45nm node interconnect design, in IEEE International Electron Devices Meeting, pp. 183-186, 2005.
[2] C. Guardiani, N. Dragone, and P. McNamara, Proactive design for manufacturing (DFM) for nanometer SoC designs, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 309-316, 2004.
[3] Topology Layout Rule. www.umc.com.
[4] H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han, Full-Chip Routing Considering Double-Via Insertion, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, pp. 844-857, 2008.
[5] J.-T. Yan, B.-Y. Chiang, and Z.-W. Chen, Yield-Driven Redundant Via Insertion Based on Probabilistic Via-Connection Analysis, in IEEE International Conference on Electronics, Circuits and Systems, pp. 874-877, 2006.
[6] K.-Y. Lee and T.-C. Wang, Post-routing redundant via insertion for yield/reliability improvement, in Asia and South Pacific Conference on Design Automation, p. 6, 2006.
[7] G. A. Allan, Targeted layout modifications for semiconductor yield/reliability enhancement, IEEE Transactions on Semiconductor Manufacturing, vol. 17, no. 4, pp. 573-581, 2004.
[8] Calibre. www.mentor-da.com.
[9] T.-Y. Lin, T.-H. Lin, H.-H. Tung, and R.-B. Lin, Double-Via-Driven Standard Cell Library Design, in Design, Automation Test in Europe Conference Exhibition, pp. 1-6, 2007.
[10] R. Aitken, DFM metrics for standard cells," in ISQED Proceedings of the 7th International Symposium on Quality Electronic Design, pp. 491-496, 2006.
[11] H. Heineken, J. Khare, and M. d'Abreu, Manufacturability analysis of standard cell libraries, in Custom Integrated Circuits Conference, 1998. Proceedings of the IEEE 1998, pp. 321-324, 1998.
[12] H. Sunagawa, H. Terada, A. Tsuchiya, K. Kobayashi, and H. Onodera, Effect of regularity-enhanced layout on printability and circuit performance of standard cells, in Quality of Electronic Design, pp. 195-200, 2009.
[13] W.-C. Tseng, Y.-H. Chen, and R.-B. Lin, Router and cell library co-development for improving redundant via insertion at pins, in IEEE International Conference on Computer Design, pp. 646-651, 2008.
[14] Zroute, IC Compiler. www.synopsys.com.

無法下載圖示 全文公開日期 2015/12/29 (校內網路)
全文公開日期 本全文未授權公開 (校外網路)
全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
QR CODE