簡易檢索 / 詳目顯示

研究生: 曾梓庭
Tzu-Ting Tseng
論文名稱: 基於MIMO偵測器之高吞吐量可調式預處理器架構設計與電路實現
The VLSI Architecture of a High-Throughput Configurable Pre-processor for MIMO Detections
指導教授: 沈中安
Chung-An Shen
口試委員: 林淵翔
Yuan-Hsiang Lin
王煥宗
Huan-Chun Wang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2016
畢業學年度: 104
語文別: 英文
論文頁數: 48
中文關鍵詞: 多輸入多輸出(排序)QR 分解MMSE收縮陣列吉文斯旋轉
外文關鍵詞: MIMO system, (Sorted) QR Decomposition, MMSE, Systolic Array, Givens rotation.
相關次數: 點閱:360下載:5
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 近年來隨著科技的發展,人們對於通訊系統的品質與速度之需求日益提升,多輸入多輸出(Multiple Input Multiple Output ; MIMO)通訊技術能夠在不增加使用頻寬的狀況下,大幅提升傳輸品質與效率,使其在現代無線通訊系統中的重要性日益漸長。然而,MIMO 通訊環境之優劣會隨時改變,傳統的系統將無法有效支援隨時改變之通道環境,此外,高速度之通訊應用在未來廣泛發展。因此,如何在MIMO系統中設計出高速度且可以針對不同環境執行最有效率之電路元件便成為現今無線通訊系統之一大挑戰。
    進一步來看,訊號偵測器是MIMO 系統中最為重要且運算量最為龐大的運算元件之一。通常一個MIMO 訊號偵測器需要對資料執行預先處理,以QR 分解為最基本的技術。此外,排序QR 分解(Sorted QR)與Minimum Mean-Square Error SQR(MMSE-SQR)分解是QR 分解之延伸技術,因為增加了額外的運算,因此可以更進一步地改善解碼品質。本論文提出一種符合於未來高速且可以同時支援上述三種矩陣分解之預處理器,我們所提出來的可配置預處理器可以平衡解碼品質與功率
    消耗。在此設計中,我們基於吉文斯旋轉(Givens Rotation)演算法實現QR 分解,並且基於收縮陣列(Systolic Array)架構以及透過座標旋轉運算法(CORDIC)實現硬體電路。另外,本設計在不同模式下能夠共用相同元件,達到最大的硬體使用率。值得一提的是,我們分析出一種計算範數(Norm)之策略,使得計算範數之硬體面積可以有效降低。最後,本設計基於台積電90 奈米製成環境下實現,電路合成後(prelayout)的結果為每秒可以執行55 百萬個QR / SQR / MMSE-SQR 分解的運算。


    This thesis presents the VLSI architecture and circuit implementation of a high-throughput configurable pre-processor for 4×4 Multiple-Input Multiple-Output (MIMO) systems. The proposed configurable pre-processor can support three functions – QR decomposition (QRD), Sorted QRD (SQRD) and Minimum Mean Squared Error SQRD (MMSE-SQRD) by sharing the Process Unit (PU) and transferring the data stream. Furthermore, in order to achieve the goal of high throughput, the proposed design is architected in a pipelined systolic array structure. The proposed configurable architecture provides a choice of a trade-off between the Bit Error Rate (BER) performance and power consumption in MIMO detector processing. Moreover, the channel matrix is operating in two-stage processor: complex-value Givens rotation and real-value Givens rotation in order to reduce the hardware complexity by reducing the computational complexity. Specifically, we proposed a novel norm calculation strategy such that large parts of the norm calculation operators can be reduced. The proposed pre-processor implemented in TSMC 90nm CMOS technology achieves the throughput up to 55 mega per second for decomposing 4×4 channel matrix, and outperforms the related works with equal functionality and architecture.

    摘要 I Abstract II 誌謝 / Acknowledgement III Table of Contents IV List of Figures VI List of Tables VII I. Introduction 1 1.1 Background 1 1.2 Previous works 3 1.3 The feature of this work 4 II. MIMO System and CORDIC Algorithm 6 2.1 MIMO System and Pre-processor of MIMO Detection 6 2.2 Two-Dimensions CORDIC Algorithm 8 III. The VLSI Architecture of Proposed Configurable Pre-processor 11 3.1 Configurable Complex Givens Rotation (CCGR) module 13 3.2 Shared Real Givens Rotation (SRGR) module 17 3.3 Detailed Circuit Architecture 20 3.3.1 Processing Unit (PU) 20 3.3.2 Processing Element (PE) 24 3.3.3 Norm-Sort-Operation (NSO) 25 3.4 Timing Analysis 27 3.4.1 QRD mode 27 3.4.2 SQRD mode 28 3.4.3 MMSE-SQRD mode 29 IV. The Experimental Results 31 4.1 The BER Performance of Proposed Norm Calculation Strategy 31 4.2 Analysis of Power Consumption for CCGR processor 31 4.3 Pre-Layout Results 33 4.4 Analysis and Comparisons 34 V. Conclusion 36 References 37

    [1] A. J. Paulraj, D. A. Gore, R. U. Nabar and H. Bolcskei, “An overview of MIMO communications - a key to gigabit wireless,” Proceedings of the IEEE, vol. 92, no. 2, pp. 198–218, Feb. 2004.
    [2] H. Sampath, S. Talwar, J. Tellado, V. Erceg, and A. Paulaj, “A fourth-generation MIMO-OFDM broadband wireless system: design, performance, and field trial results,” IEEE Commun. Magazine, vol. 40, no. 9, pp. 143–149, Sep. 2002.
    [3] T.-H. Kim and I.-C. Park, “High-throughput and area-efficient MIMO symbol detection based on modified Dijkstra’s search,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 7, pp. 1756–1766, July 2010.
    [4] K. Chun, S. Kang, Y. Jang, Y. E. Kim, J. Lee, I. S. Song, J. H. Yi, B. H. Kim, B. Lee, and H. C. Kim, “2×2 MIMO, multi-mode, wideband transceiver system for worldwide m-WiMAX (IEEE 802.16e) / WLAN (IEEE 802.11n) applications,” IEEE International Conference on Solid-State Sensors, Actuators and Microsystems, pp. 827–831, June 2011.
    [5] P. Zhang, S. Chen and L. Hanzo, “Two-Tier Channel Estimation Aided Near-Capacity MIMO Transceivers Relying on Norm-Based Joint Transmit and Receive Antenna Selection,” IEEE Trans. Wireless Commun., vol. 14, no. 1, pp. 122–137, Jan. 2015.
    [6] D. Gesbert, M. Shafi, Da-Shan Shiu, P. J. Smith and A. Naguib, “From theory to practice: An overview of MIMO space-time coded wireless systems,” IEEE J. Sel. Areas Commun., vol. 21, no. 3, pp. 281–302, Apr. 2003.
    [7] H. Kim, J. Park, H. Lee and J. Kim, “Near-ML MIMO Detection Algorithm With LR-Aided Fixed-Complexity Tree Searching,” IEEE Commun. Letters, vol. 18, no. 12, pp. 2221–2224, Dec. 2014.
    [8] C.-A. Shen, C.-P. Yu and C.-H. Huang, “Algorithm and Architecture of Configurable Joint Detection and Decoding for MIMO Wireless Communications With Convolutional Codes,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 2, pp. 587–599, Feb. 2016.
    [9] K. J. Kim, M.-O. Pun, and R. A. Iltis, “QRD-based precoded MIMO-OFDM systems with reduced feedback,” IEEE Trans. Commun., vol. 58, no. 2, pp. 394–398, Feb. 2010.
    [10] M. Shabany and P. G. Gulak, “A 0.13 µm CMOS 655 Mb/s 4x4 64-QAM K-best MIMO detector,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 256–257, Feb. 2009.
    [11] T. H. Tran, Y. Nagao, M. Kurosaki, B. Sai and H. Ochi, “ASIC Design of 600Mbps 4×4 MIMO Wireless LAN System,” in Proc. IEEE ICACT, pp. 360–363, Feb. 2012.
    [12] D. Wiibben, R. Bohnke, V. Kuhn and K.-D. Kammeyer, “MMSE Extension of V-BLAST based on Sorted QR Decomposition,” in Proc. IEEE VTC, vol. 1, pp. 508–512, Oct. 2003.
    [13] P. Luethi, A. Burg, S. Haene, D. Perels, N. Felber and W. Fichtner, “VLSI Implementation of a High-Speed Iterative Sorted MMSE QR Decomposition,” in Proc. IEEE ISCSA, pp. 1421–1424, May 2007.
    [14] D. Wぴubben, R. Bぴohnnke, Volker Kぴuhn, and K.-D. Kammeyer, “MMSE extension of V-BLAST based on sorted QR decomposition,” in Proc. IEEE VTC, vol. 1, pp. 508–512, Oct. 2003.
    [15] P. Salmela, A. Burian, H. Sorokin, and J. Takala, “Complex-valued QR decomposition implementation for MIMO receivers,” in Proc. IEEE ICASSP, pp. 1433–1436, Apr. 2008.
    [16] C. Singh, S. Prasad, and P. Balsara, “VLSI architecture for matrix inversion using modified Gram-Schmidt based QR decomposition,” in Proc. Int. Conf. VLSI Des., pp. 836–841, Jan. 2007.
    [17] S.-F. Hsiao and J.-M. Delosme, “Householder CORDIC algorithms,” IEEE Trans. Comput., vol. 44, no. 8, pp. 990–1001, Aug. 1995.
    [18] Z.-Y. Huang and P.-Y. Tsai, “Efficient Implementation of QR Decomposition for Gigabit MIMO-OFDM Systems,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 10, pp. 2531–2542, Oct. 2011.
    [19] M. Shabany, D. Patel, and P. G. Gulak “A Low-Latency Low-Power QR-Decomposition ASIC Implementation in 0.13 um CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 2, pp. 327–340, Feb. 2013.
    [20] Y. Ren, G. He and J. Ma, “High-throughput sorted MMSE QR decomposition for MIMO detection,” in Proc. IEEE ISCSA, pp. 2845–2848, May 2012.
    [21] C. Zhang, H. Prabhu, Y. Liu, L. Liu, O. Edfors and V. Owall, “Energy Efficient Group-Sort QRD Processor With On-Line Update for MIMO Channel Pre-Processing,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 5, pp. 1220–1229, May 2015.
    [22] J. Volder, “The CORDIC trigonometric computing technique,” IEEE Trans. Electronic Computers, vol. 8, no. 3, pp. 330–334, Sep. 1959.
    [23] J. Volder, “A unified algorithm for elementary functions,” in Proc. AFIPS Spring Joint Computing Conf., vol. 38, pp. 379–385, Nov. 1971.
    [24] X. Liu, P. Wang, Z. Lan and B. Shao, “Biological Characteristic Online Identification Technique over 5G Network,” IEEE Wireless Commun., vol. 22, no. 6, pp. 84–90, Dec. 2015.
    [25] Z. Zhao, Z. Ding, M. Peng, W. Wang and J. S. Thompson, “On the Design of Cognitive Radio Inspired Asymmetric Network Coding Transmissions in MIMO Systems,” IEEE Trans. on Veh. Tech., vol. 64, no. 3, pp. 1014–1025, Mar. 2015.
    [26] I.-W. Lai, C.-L. C, C.-H. Lee, K.-C. Chen and E. Biglieri, “End-to-End Virtual MIMO Transmission in Ad Hoc Cognitive Radio Networks,” IEEE Trans. Wireless Commun., vol. 13, no. 1, pp. 330-341, Jan. 2014.
    [27] J.-S., Lin Y.-T. Hwang P.-H. Chu and M.-D. Shieh “An efficient QR decomposition design for MIMO systems”, in Proc. IEEE ISCSA, pp. 1508–1511, May 2012.
    [28] Y. –T. Hwang and W. –D. Chen, “A Low Complexity Complex QR Factorization Design for Signal Detection in MIMO OFDM Systems,” in Proc. IEEE ISCSA, pp. 932–935, May 2008.
    [29] A. Burg, M. Borgmann, M. Wenk, M. Zellweger, W. Fichtner and H. Bolcskei, “VLSI implementation of MIMO detection using the sphere decoding algorithm,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1566–1577, Jul. 2005.

    QR CODE