簡易檢索 / 詳目顯示

研究生: 許日杰
Jih-Chieh Hsu
論文名稱: 基於RLC模型之同時優化功率、雜訊、延遲之單晶片匯流排編碼技術
On-Chip Bus Encoding to Simultaneously Reduce Power, Noise, and Delay Based on RLC Model
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 張延任
Yen-Jen Chang
林銘波
Ming-Bo Lin
許孟超
Mon-Chau Shie
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2009
畢業學年度: 97
語文別: 英文
論文頁數: 35
中文關鍵詞: 匯流排編碼技術電容電感串音效應低功率設計RLC模型訊號完整性
外文關鍵詞: Bus Encoding, LC-crosstalk, Low Power Design, RLC Model, Signal Integrity
相關次數: 點閱:198下載:2
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於SoC (System on a Chip)的IC設計漸漸成為業界的主流,因而IC設計的廠商採用DSM (deep sub-micro) 或奈米製程技術來生產所需的產品,因此在設計時,晶片匯流排導線之間所產生的電容及電感串音效應(LC-crosstalk) 對系統效能無論是在功率消耗、訊號延遲、邏輯錯誤及訊號雜訊所產生對訊號完整性及整體系統功率消耗的影響已不容忽視。
    隨著晶片製程技術進入奈米(nanometer)紀元,匯流排訊號傳輸的工作頻率已達過Giga 赫茲,同時繞線密度(Routing Density)隨著奈米製程晶片大幅上升,因此訊號傳輸時匯流排導線之間所造成的電容及電感串音效應嚴重影響晶片系統的效能。

    因此我們將建立一套同時考量電容及電感串音效應下針對匯流排進行編碼技術的架構,再經由模擬來說明我們採用的編碼技術的方式不但可以達成低功率、低延遲、並且低雜訊。在這方法中我們設計出一個成本評估機制(Cost Function)來針對訊號非反向及反向的形式同時作估算,當資料傳送時先透過此機制估算結果比較非反向及反向的形式的成本(Cost)何者較少,再將之送至匯流排上傳輸。如此在匯流排資料傳輸上我們將可獲得低功率、低延遲、並且低雜訊的結果。此研究的重點是如何在同時考量電容及電感串音效應下設計出一套完整的編碼解碼機制,進而達到低功率、低延遲、並且低雜訊的資料傳輸並且我們所達成的功率延遲雜訊降低能補償成本估算機制所需要編碼解碼電路的額外花費因而得以在兩者之間取得一個平衡相互整合,使得晶片系統無論在效能亦或成本上都能達到最佳化。
    實驗結果證實我們所提出的方法可同時降低八位元匯流排的功率消耗、訊號雜訊、延遲可達到19.97%、14.73%、以及17.70%,即使當匯流排寬度增加至三十二位元或六十四位元,我們的方法仍維持顯著的效能。


    In this paper, we propose a new bus encoding technique
    which simultaneously reduce power, noise, and delay according to
    the differential magnitude in the growth and decline of LC-crosstalk on the bus.
    LC-crosstalk incurs considerable power consuming and serious signal integrity problems of interconnects.
    When input data is transmitted on the bus, the different signal switching behavior
    causes differential influences of LC-crosstalk upon interconnects.
    Therefore, bus encoding technique on power, noise, and delay of interconnects is
    the essential issue for interconnect optimization.

    We devise a quantitative cost function to determine the encoding patterns.
    The cost function adopts the priority between capacitive and inductive effects
    to adequately quantify and generalize LC-crosstalk into a quantified value.
    According to the quantified value, we determine how to encode the input data for LC-crosstalk elimination.
    Unlike previous works which only eliminate capacitive or inductive crosstalk separately,
    our proposed scheme takes LC-crosstalk into account
    and decreases power, noise, and delay at the same time with slight extra hardware overhead.

    We adopt an algorithm to generalize the worst case delay transition pattern of different bus widths.
    Moreover, we also implemented other methods to compare the worst case delay with our proposed approach.
    The simulation results indicate that our proposed method not only reduces power and noise but also
    has significant efficiency on signal integrity optimization.
    Experimental results show that power, noise, and delay reduction by using our scheme are
    up to 19.97\%, 14.73\%, and 17.70\% for an 8-bit bus.
    As the bus width extends to 32-bit or even 64-bit,
    our proposed method still maintains efficient reduction on power, noise, and delay.

    Table of Contents iv List of Tables v List of Figures vi Abstract vii 1 Introduction 1 2 Analysis of LC-crosstalk on Interconnects 4 2.1 Simulation Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.2 Observation and Motivation . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.3 The Impact of the Transition Pattern Variation on LC-crosstalk . . . . . . . 8 3 The Proposed Method 11 3.1 The Cost Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 3.2 The Encoding Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 4 Experimental Results 19 4.1 Simulation Results on Power and Noise . . . . . . . . . . . . . . . . . . . 19 4.2 Worst Case Delay Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . 25 5 Conclusion 31 Bibliography 33

    [1] Predictive technology model, NIMO group asu, http://www.eas.asu.edu/ptm/.
    [2] D. K. Cheng, Field and wave electromagnetics, Addison-Wesley, 1989.
    [3] M.A. El-Moursy and E.G. Friedman, Power characteristics of inductive interconnect,
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12 (2004), no. 12,
    1295–1306.
    [4] Ashok K. Goel, High-speed vlsi interconnections, Wiley-Interscience, 2007.
    [5] Stephen H. Hall, Garrett W. Hall, and James A. McCall, High-speed digital system
    design, John Wiley and Sons, Inc., 2000.
    [6] Lei He, Interconnect modeling and design with consideration of on-chip inductance,
    (2001), 155 – 190.
    [7] J. Henkel and H. Lekatsas, A2bc: Adaptive address bus coding for low power deep
    sub-micron designs, Proceedings of the 38th conference on Design automation, ACM
    Press, 2001, pp. 744–749.
    [8] W.-W. Hsieh, P.-Y. Chen, C.-Y. Wang, and T.-T. Hwang, A bus-encoding scheme for
    crosstalk elimination in high-performance processor design, IEEE Transactions on
    Computer-Aided Design of Integrated Circuits and Systems, Dec. 2007.
    33
    34
    [9] I. H.-R. Jiang, Y.-W. Chang, and J.-Y. Jou, Crosstalk-driven interconnect optimization
    by simultaneous gate and wire sizing, IEEE Transactions on Computer-Aided Design
    of Integrated Circuits and Systems 19 (2000), no. 9, 999–1010.
    [10] M. Kamon, M. J. Ttsuk, and J. K. White, Fasthenry: A multipleaccelerated 3-d inductance
    field solver, IEEE Transactions on Microwave 42 (1994), 1750–1758.
    [11] Xiao-Chun Li, Jun-Fa Mao, Hui-Fen Huang, and Ye Liu, Global interconnect width
    and spacing optimization for latency, bandwidth and power dissipation, IEEE Transactions
    on Electron Devices 52 (2005), no. 10, 2272–2279.
    [12] T. W. Lin, S. W. Tu, and J. Y. Jou, On-chip bus encoding for power minimization
    under delay constraint, International Symposium on VLSI Design, Automation and
    Test, April 2007.
    [13] D. Liu and C. Svensson, Power consumption estimation in cmos, IEEE Journal of
    Solid-State Circuits 29 (1994), no. 6, 663–670.
    [14] T. Lv, J. Henkel, H. Lekatsas, and W. Wolf, A dictionary-based en/decoding scheme
    for low-power data buses, IEEE Transactions on VLSI Systems 11 (2003), no. 5,
    943–951.
    [15] K. Nabors and J. White, Fastcap: A multiple accelerated 3-d capacitance field solver,
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
    (1991), 1447–1459.
    [16] U. Narayanan, K. S. Chung, and T. Kim, Enhanced bus invert encodings for lowpower,
    IEEE International Symposium on Circuits and Systems, vol. 5, May 2002,
    pp. 25–28.
    [17] E. Naroska, S. Ruan, F. Lai, U. Schwiegelshohn, and L. Liu, On optimizing power
    and crosstalk for bus coupling capacitance using genetic algorithms, International
    Symposium on Circuits and Systems, vol. 5, May 2003, pp. 277–280.
    35
    [18] Shanq-Jang Ruan, E. Naroska, and C.-C. Chen, Optima partitioned fault-tolerant bus
    layout for reducing power in nanometer designs, Proceedings of ACM International
    Symposium on Physical Design, April 2006, pp. 114–119.
    [19] Shanq-Jang Ruan, S.-F. Tsai, and Y.-T. Pai, Design and analysis of low power dynamic
    bus based on rlc simulation, IEEE Computer Society Annual Symposium on
    VLSI, March 2007.
    [20] K. S. Sainarayanan, C. Raghunandan, and M. B. Srinivas, Delay and power minimization
    in vlsi interconnects with spatio-temporal bus-encoding scheme, IEEE Computer
    Society Annual Symposium on VLSI, March 2007.
    [21] M. R. Stan and W. P. Burleson, Bus-invert coding for low-power i/o, IEEE Transactions
    on VLSI System 3 (1995), 49–58.
    [22] S. W. Tu, Y. W. Chang, and J. Y. Jou, Rlc coupling-aware simulation and on-chip
    bus encoding for delay reduction, IEEE Transactions on Computer-Aided Design of
    Integrated Circuits and Systems 25 (2006), no. 10, 2258–2264.
    [23] Y. Zhang, J. Lach, K. Skadron, and M. R. Stan, Odd/even bus invert with two-phase
    transfer for buses with coupling, Proc. of ISLPED’02, Aug. 2002.

    QR CODE