簡易檢索 / 詳目顯示

研究生: 李國華
FREDERICK
論文名稱: Diffraction Analysis of Gaussian Beam Incident on The Surface Relief Grating Using The FDTD Method
Diffraction Analysis of Gaussian Beam Incident on The Surface Relief Grating Using The FDTD Method
指導教授: 郭鴻飛
HUNG-FEI KUO
口試委員: 郭永麟
YONG-LIN KUO
沈政忠
JENG-JUNG SHEN
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2013
畢業學年度: 101
語文別: 英文
論文頁數: 79
中文關鍵詞: Angle-resolved scatterometryFDTDscalar analysisrigorous analysisCD
外文關鍵詞: Angle-resolved scatterometry, FDTD, scalar analysis, rigorous analysis, CD
相關次數: 點閱:903下載:8
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

As semiconductor especially IC industries continue to push to smaller device (smaller feature size), supporting infrastructure such as metrology tool availability is also important. Metrology measure and control critical dimension (CD) uniformity, overlay, thickness of each layer, defect after exposure or through some chemical process. Optical metrology is one of the non-contact, rapid and non-destructive method and preferable method in semiconductor manufacturing process. Diffraction grating analysis has been studied for many years from the scalar analysis to the rigorous analysis. This study investigates the diffraction efficiency of Gaussian beam illumination on surface relief grating. The diffraction efficiency of surface relief grating is analyzed by using FDTD method of wavelength 532nm. The simulation will be conducted with number of parameters such as sidewall angle, incident angle. Next the changes of the thickness of the grating layer, feature size (CD size), pitch of the grating were evaluated. Finally, the angle-resolved scatterometry simulation result will be compared with the angle-resolved scatterometry experiment result. The simulation and experiment will be presented in TE and TM polarization.


As semiconductor especially IC industries continue to push to smaller device (smaller feature size), supporting infrastructure such as metrology tool availability is also important. Metrology measure and control critical dimension (CD) uniformity, overlay, thickness of each layer, defect after exposure or through some chemical process. Optical metrology is one of the non-contact, rapid and non-destructive method and preferable method in semiconductor manufacturing process. Diffraction grating analysis has been studied for many years from the scalar analysis to the rigorous analysis. This study investigates the diffraction efficiency of Gaussian beam illumination on surface relief grating. The diffraction efficiency of surface relief grating is analyzed by using FDTD method of wavelength 532nm. The simulation will be conducted with number of parameters such as sidewall angle, incident angle. Next the changes of the thickness of the grating layer, feature size (CD size), pitch of the grating were evaluated. Finally, the angle-resolved scatterometry simulation result will be compared with the angle-resolved scatterometry experiment result. The simulation and experiment will be presented in TE and TM polarization.

Table of Contents Acknowledgments I Abstract II Table of Contents III List of Figures V List of Tables X Chapter 1 Introduction 1 1.1 Background 1 1.2 Motivation 3 1.3 Literature Review 3 1.4 Research Objective 5 1.5 Thesis Organization 6 Chapter 2 Optical Scatterometry Metrology 7 2.1 Introduction to Optical Scatterometry 7 2.2 Surface Relief Diffraction Grating in Optical Scatterometry 12 2.3 Diffraction Theory 14 2.4 Formulation of Grating Problem in TE and TM cases 18 Chapter 3 Numerical Study of Surface Relief Grating 21 3.1 FDTD Algorithm for Grating Simulation 21 3.2 FDTD Algorithm 22 3.3 Gaussian Beam Incidents on 1-D Grating Stacks 37 3.4 Impact of Surface Relief Grating Geometry on Diffraction Efficiency 43 Chapter 4 Measurement of Diffraction Efficiency by The Surface Relief Grating 53 4.1 Design of Experiment 53 4.2 CD-SEM Result of Surface Relief Grating 58 4.3 Optical Scatterometry Measurement Result 61 4.4 Discussion 66 Chapter 5 Conclusion and Future Research 67 5.1 Summary of the Results 67 5.2 Comparison of Theory and Experimental 68 5.3 Future Research 69 References 70

[1] (2012). International Technology Roadmap for Semiconductors. Available: http://www.itrs.net/
[2] J. V. Hermans, H. Dai, A. Niroomand, D. Laidler, M. Mao, Y. Chen, et al., "Towards manufacturing a 10nm node device with complementary EUV lithography," in Extreme Ultraviolet (EUV) Lithography IV, San Jose, California, USA, 2013, p. 86791K.
[3] F. T. Chen, W.-S. Chen, M.-J. Tsai, and T.-K. Ku, "Complementary polarity exposures for cost-effective line-cutting in multiple patterning lithography," in Optical Microlithography XXV, San Jose, California, 2012, p. 83262L.
[4] J. Choi, S. J. Bae, H. B. Kim, B. G. Kim, and H. K. Cho, "Pattern placement error due to resist charging effect at 50kV e-beam writer: mechanism and its correction," in Photomask Technology 2011, Monterey, California, 2011, p. 81661Z.
[5] X. Ma and G. R. Arce, "Generalized inverse lithography methods for phase-shifting mask design," Opt. Express, vol. 15, pp. 15066-15079, 11/12 2007.
[6] A. Mallik, W. Vansumere, J. Ryckaert, A. Mercha, N. Horiguchi, S. Demuynck, et al., "The need for EUV lithography at advanced technology for sustainable wafer cost," in Extreme Ultraviolet (EUV) Lithography IV, San Jose, California, USA, 2013, p. 86792Y.
[7] T. Desouky, D. Abercrombie, H. Kim, and S.-H. Choi, "Double patterning for 20nm and beyond: design rules aware splitting," in Photomask Technology 2012, Monterey, California, USA, 2012, p. 852221.
[8] S. Yamauchi, A. Hara, K. Oyama, S. Natori, M. Yamato, and H. Yaegashi, "Extendibility of self-aligned type multiple patterning for further scaling," in Advances in Resist Materials and Processing Technology XXX, San Jose, California, USA, 2013, p. 86821D.
[9] G. Landie, J.-N. Pena, S. Postnikov, J. Word, S. Shang, F. Chaoui, et al., "Model-based stitching and inter-mask bridge prevention for double patterning lithography," in Optical Microlithography XXVI, San Jose, California, USA, 2013, p. 868316.
[10] K. Bubke, R. de Kruif, J. H. Peters, M. Dusa, and B. Connolly, "Mask characterization for double patterning lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 8, p. 011004, 2009.
[11] A. G. Wassal, H. Sharaf, and S. Hammouda, "Placement-aware decomposition of a digital standard cells library for double patterning lithography," in Photomask Technology 2012, Monterey, California, USA, 2012, p. 852222.
[12] M. Asano, T. Ikeda, T. Koike, and H. Abe, "Evaluation of producer’s and consumer’s risks in scatterometry and scanning electron microscopy metrology for inline critical dimension metrology," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 5, p. 043006, 2006.
[13] P. L. Jiang, H. Chu, J. Hench, and D. Wack, "Forward solve algorithms for optical critical dimension metrology," in Metrology, Inspection, and Process Control for Microlithography XXII, San Jose, CA, 2008, p. 69221O.
[14] D. C. Wack, J. Hench, L. Poslavsky, J. Fielden, V. Zhuang, W. Mieher, et al., "Opportunities and challenges for optical CD metrology in double patterning process control," in Metrology, Inspection, and Process Control for Microlithography XXII, San Jose, CA, 2008, p. 69221N.
[15] C. Shishido, M. Tanaka, and M. Osaki, "CD bias reduction in CD-SEM of very small line patterns: sidewall shape measurement using model-based library matching method," in Metrology, Inspection, and Process Control for Microlithography XXIV, San Jose, California, 2010, p. 76383I.
[16] J. Huang, J. Hu, W. Wang, Y.-P. Lee, C.-M. Ke, and T.-S. Gau, "Detection of lateral CD shift with scatterometry on grating structures in production layout," in Metrology, Inspection, and Process Control for Microlithography XXIV, San Jose, California, 2010, p. 76381Q.
[17] S. E. Kozik and A. G. Smirnov, "Full-scale simulation of angle-resolved focused-beam scatterometry applied to aperiodic isolated features: model validity analysis and numerical results," in Modeling Aspects in Optical Metrology III, Munich, Germany, 2011, p. 80830A.
[18] M. Vaez-Iravani, "Optical Inspection and Metrology in Semiconductor Manufacturing," 2011, p. AITuC1.
[19] V. Ukraintsev and B. Banke, "Review of reference metrology for nanotechnology: significance, challenges, and solutions," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 11, pp. 011010-1, 2012.
[20] C. Bencher, J. Finders, I. Englard, Y. Cohen, A. Sagiv, M. Ben-Yishai, et al., "Toward 22 nm: fast and effective intrafield monitoring and optimization of process windows and critical dimension uniformity," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 10, p. 043003, 2011.
[21] H. A. Kalhor and A. R. Neureuther, "Numerical Method for the Analysis of Diffraction Gratings," J. Opt. Soc. Am., vol. 61, pp. 43-48, 01/01 1971.
[22] M. G. Moharam and T. K. Gaylord, "Diffraction analysis of dielectric surface-relief gratings," J. Opt. Soc. Am., vol. 72, pp. 1385-1392, 10/01 1982.
[23] M. T. Wlodarczyk and S. R. Seshadri, "Analysis of grating couplers in planar waveguides for waves at oblique incidence," J. Opt. Soc. Am. A, vol. 2, pp. 171-185, 02/01 1985.
[24] Y. Lu, C. Zhou, and H. Luo, "FDTD analysis of Talbot effect of a high density grating," Chin. Opt. Lett., vol. 3, pp. S358-S360, 08/28 2005.
[25] D. Z. Lin, C. K. Chang, Y. C. Chen, D. L. Yang, M. W. Lin, J. T. Yeh, et al., "Beaming light from a subwavelength metal slit surrounded by dielectric surface gratings," Opt. Express, vol. 14, pp. 3503-3511, 04/17 2006.
[26] S.-D. Wu and E. N. Glytsis, "Finite-number-of-periods holographic gratings with finite-width incident beams: analysis using the finite-difference frequency-domain method," J. Opt. Soc. Am. A, vol. 19, pp. 2018-2029, 10/01 2002.
[27] P. Lalanne and E. Silberstein, "Fourier-modal methods applied to waveguide computational problems," Opt. Lett., vol. 25, pp. 1092-1094, 08/01 2000.
[28] K. Hirayama, E. N. Glytsis, and T. K. Gaylord, "Rigorous electromagnetic analysis of diffraction by finite-number-of-periods gratings," J. Opt. Soc. Am. A, vol. 14, pp. 907-917, 04/01 1997.
[29] M. Neviere, "Electromagnetic versus scalar theory for modeling diffraction gratings: is electromagnetic modeling necessary for practical applications?," pp. 362-373, 1995.
[30] A. Coves, B. Gimeno, and M. V. Andres, "Oblique incidence and polarization effects in coupled gratings," Opt. Express, vol. 20, pp. 25454-25460, 11/05 2012.
[31] J. Frances, C. Neipp, S. Gallego, S. Bleda, A. Marquez, I. Pascual, et al., "Comparison of simplified theories in the analysis of the diffraction efficiency in surface-relief gratings," in Optical Modelling and Design II, Brussels, Belgium, 2012, p. 84291U.
[32] J. Sun and C. Zheng, "Numerical scattering analysis of TE plane waves by a metallic diffraction grating with local defects," J. Opt. Soc. Am. A, vol. 26, pp. 156-162, 01/01 2009.
[33] S. Teng, J. Zhang, and C. Cheng, "Optical scattering analysis of the diffraction distortion of a two-dimensional reflection grating," Appl. Opt., vol. 48, pp. 4519-4525, 08/10 2009.
[34] S. Burger, L. Zschiedrich, F. Schmidt, P. Evanschitzky, and A. Erdmann, "Benchmark of rigorous methods for electromagnetic field simulations," in Photomask Technology 2008, Monterey, CA, 2008, p. 71221S.
[35] O. Sandfuchs, R. Brunner, D. Patz, S. Sinzinger, and J. Ruoff, "Rigorous analysis of shadowing effects in blazed transmission gratings," Opt. Lett., vol. 31, pp. 3638-3640, 12/15 2006.
[36] W. Jiang and R. T. Chen, "Rigorous analysis of diffraction gratings of arbitrary profiles using virtual photonic crystals," J. Opt. Soc. Am. A, vol. 23, pp. 2192-2197, 09/01 2006.
[37] K. Dossou, M. Packirisamy, and M. Fontaine, "Analysis of diffraction gratings by using an edge element method," J. Opt. Soc. Am. A, vol. 22, pp. 278-288, 02/01 2005.
[38] N. I. Nikolaev and A. Erdmann, "Rigorous simulation of alignment for microlithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 2, pp. 220-226, 2003.
[39] J. M. Bendickson, E. N. Glytsis, T. K. Gaylord, and D. L. Brundrett, "Guided-mode resonant subwavelength gratings: effects of finite beams and finite gratings," J. Opt. Soc. Am. A, vol. 18, pp. 1912-1928, 08/01 2001.
[40] J. Bischoff, "Improved diffraction computation with a hybrid C-RCWA-method," in Metrology, Inspection, and Process Control for Microlithography XXIII, San Jose, CA, 2009, p. 72723Y.
[41] C.-C. Tsai and S.-T. Wu, "Study of broadband polarization conversion with metallic surface-relief gratings by rigorous coupled-wave analysis," J. Opt. Soc. Am. A, vol. 25, pp. 1339-1348, 06/01 2008.
[42] M. G. Moharam and T. K. Gaylord, "Rigorous coupled-wave analysis of planar-grating diffraction," J. Opt. Soc. Am., vol. 71, pp. 811-818, 07/01 1981.
[43] M. G. Moharam and T. K. Gaylord, "Rigorous coupled-wave analysis of metallic surface-relief gratings," J. Opt. Soc. Am. A, vol. 3, pp. 1780-1787, 11/01 1986.
[44] N. Chateau and J.-P. Hugonin, "Algorithm for the rigorous coupled-wave analysis of grating diffraction," J. Opt. Soc. Am. A, vol. 11, pp. 1321-1331, 04/01 1994.
[45] W. Lee and F. L. Degertekin, "Rigorous Coupled-Wave Analysis of Multilayered Grating Structures," J. Lightwave Technol., vol. 22, p. 2359, 10/01 2004.
[46] C. Oh, R. Komanduri, and M. J. Escuti, "FDTD analysis of 100% efficient polarization-independent liquid crystal polarization grating," in Liquid Crystals X, San Diego, CA, 2006, p. 633212.
[47] B. Salski, M. Celuch, and W. Gwarek, "Enhancements to FDTD modeling for optical metrology applications," in Modeling Aspects in Optical Metrology, Munich, Germany, 2007, p. 66170U.
[48] H. Shirasaki, "3D anisotropic semiconductor grooves measurement simulations (scatterometry) using FDTD methods," in Metrology, Inspection, and Process Control for Microlithography XXI, San Jose, CA, 2007, p. 65184D.
[49] K. Shimada, S. Yoshida, N. Yoshida, and M. Yamamoto, "Analysis of diffraction characteristics of photopolymers by using the FDTD method," in Organic Optoelectronics and Photonics III, Strasbourg, France, 2008, p. 69991X.
[50] H. Shirasaki, "3D semiconductor grooves measurement simulations (scatterometry) using nonstandard FDTD methods," in Metrology, Inspection, and Process Control for Microlithography XXII, San Jose, CA, 2008, p. 69223T.
[51] M. S. Mirotznik, D. W. Prather, J. N. Mait, W. A. Beck, S. Shi, and X. Gao, "Three-Dimensional Analysis of Subwavelength Diffractive Optical Elements with the Finite-Difference Time-Domain Method," Appl. Opt., vol. 39, pp. 2871-2880, 06/10 2000.
[52] B. Lehner and K. Hingerl, "The finite difference time domain method as a numerical tool for studying the polarization optical response of rough surfaces," Thin Solid Films, vol. 455–456, pp. 462-467, 5/1/ 2004.
[53] P. C. Logofatu, D. Apostol, V. Damian, V. Nascov, F. Garoi, A. Timcu, et al., "Scatterometry, an optical metrology technique for lithography," in Semiconductor Conference, 2004. CAS 2004 Proceedings. 2004 International, 2004, pp. 517-520 vol.2.
[54] J. Jang, S. Kwak, K. Lee, K. Kim, H. Park, J. Youn, et al., "Scatterometry measurement method for gate CD control of sub-130nm technology," in Metrology, Inspection, and Process Control for Microlithography XIX, San Jose, CA, 2005, pp. 1331-1340.
[55] Y.-S. Ku, W. Hsu, S.-Y. Chou, and D.-M. Shyu, "Optimal measurement method for scatterometer-based overlay metrology," Optical Engineering, vol. 47, pp. 083604-083604, 2008.
[56] M. G. Faruk, S. Zangooie, M. Angyal, D. K. Watts, M. Sendelbach, L. Economikos, et al., "Enabling Scatterometry as an In-Line Measurement Technique for 32 nm BEOL Application," Semiconductor Manufacturing, IEEE Transactions on, vol. 24, pp. 499-512, 2011.
[57] Y. Blancquaert, C. Dezauzier, J. Depre, M. Miqyass, and J. Beltman, "Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control," in Metrology, Inspection, and Process Control for Microlithography XXVII, San Jose, California, USA, 2013, p. 86811F.
[58] B. Orlando, N. Spaziani, N. Socquet, R. Bouyssou, M. Gatefait, and P. J. Goirand, "Scatterometry-based dose and focus decorrelation: applications to 28nm contact holes patterning intrafield focus investigations," in Metrology, Inspection, and Process Control for Microlithography XXVII, San Jose, California, USA, 2013, p. 868118.
[59] W.-J. Tzai, H. Chen, J.-J. Lin, Y.-H. Huang, C.-C. Yu, C.-H. B. Lin, et al., "Advanced gate CDU control in sub-28nm node using poly slot process by scatterometry metrology," in Metrology, Inspection, and Process Control for Microlithography XXVII, San Jose, California, USA, 2013, p. 86811T.
[60] B. C. Bergner, T. A. Germer, and T. J. Suleski, "Effect of line-width roughness on optical scatterometry measurements," in Metrology, Inspection, and Process Control for Microlithography XXIII, San Jose, CA, 2009, p. 72720U.
[61] S. Corboy, C. MacNaughton, T. Gubiotti, and M. Wollenweber, "Using scatterometry to improve process control during the spacer pitch splitting process," in Metrology, Inspection, and Process Control for Microlithography XXIII, San Jose, CA, 2009, p. 727245.
[62] P. Dasari, J. Hu, Z. Liu, A. Tan, O. Kritsun, C. Volkman, et al., "Scatterometry characterization of spacer double patterning structures," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 9, pp. 041309-041309, 2010.
[63] C. M. Ke, V. Shih, J. Huang, L. J. Chen, W. Wang, G. T. Huang, et al., "A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography," in Metrology, Inspection, and Process Control for Microlithography XXIV, San Jose, California, 2010, p. 76383P.
[64] K. Bhattacharyya, N. Wright, M. van der Schaar, A. d. Boef, P. Hinnen, M. Shahrjerdy, et al., "New approaches for scatterometry-based metrology for critical distance and overlay measurement and process control," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 10, pp. 013013-013013, 2011.
[65] C.-Y. Chen, K.-Y. Tsai, Y.-T. Shen, Y.-M. Lee, J.-H. Li, J. J. Shieh, et al., "Direct-scatterometry-enabled lithography model calibration," in Metrology, Inspection, and Process Control for Microlithography XXVI, San Jose, California, 2012, p. 83241R.
[66] V. Ferreras Paz, S. Peterhansel, K. Frenner, and W. Osten, "Solving the inverse grating problem by white light interference Fourier scatterometry," Light Sci Appl, vol. 1, p. e36, 11/09/online 2012.
[67] N. Kumar, O. El Gawhary, S. Roy, V. G. Kutchoukov, S. F. Pereira, W. Coene, et al., "Coherent Fourier scatterometry: tool for improved sensitivity in semiconductor metrology," in Metrology, Inspection, and Process Control for Microlithography XXVI, San Jose, California, 2012, p. 83240Q.
[68] J. Li, O. Kritsun, P. Dasari, C. Volkman, T. Wallow, and J. Hu, "Evaluating scatterometry 3D capabilities for EUV," in Metrology, Inspection, and Process Control for Microlithography XXVII, San Jose, California, USA, 2013, p. 86810S.
[69] R. Chalykh, I. Pundaleva, S. Kim, H.-K. Cho, and J.-T. Moon, "Simulation of critical dimension and profile metrology based on scatterometry method," pp. 63491K-63491K, 2006.
[70] L. Towidjaja, C. Raymond, M. Littau, D. Forman, and S. G. Hummel, "Back end of line metrology control applications using scatterometry," pp. 61521X-61521X, 2006.
[71] H. J. Patrick, R. Attota, B. M. Barnes, T. A. Germer, R. G. Dixson, M. T. Stocker, et al., "Optical critical dimension measurement of silicon grating targets using back focal plane scatterfield microscopy," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 7, pp. 013012-013012, 2008.
[72] J. Benschop, A. Engelen, H. Cramer, M. Kubis, P. Hinnen, H. van der Laan, et al., "Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing," in Optical Microlithography XXVI, San Jose, California, USA, 2013, p. 86830P.
[73] R. Bouyssou, B. Le Gratiet, P. Gouraud, L. Desvoivres, G. Briend, and B. Dumont, "28nm FD-SOI metal gate profile optimization, CD and undercut monitoring using scatterometry measurement," in Metrology, Inspection, and Process Control for Microlithography XXVII, San Jose, California, USA, 2013, p. 86810R.
[74] F. Wang, Q. Zhang, H. Lu, L. Duan, and X. Li, "Improving the measurement performance of angle-resolved scattermetry by use of pupil optimization," in Metrology, Inspection, and Process Control for Microlithography XXVI, San Jose, California, 2012, p. 83242M.
[75] A. Vaid, M. Sendelbach, S. Komarov, T. Dziura, J. Ferns, and J. Madsen, "Improved scatterometry time to solution for leading-edge logic applications," in Advanced Semiconductor Manufacturing Conference (ASMC), 2010 IEEE/SEMI, 2010, pp. 341-346.
[76] Y. Wakabayashi, J. Yamauchi, and H. Nakano, "FDTD analysis of a metal grating structure at oblique incidence using the TRC technique," in Microwave Conference Proceedings (APMC), 2012 Asia-Pacific, 2012, pp. 821-823.
[77] Y. Lu and C. Zhou, "Rigorous electromagnetic analysis of Talbot effect with the finite-difference time-domain method," in Optical Design and Testing II, Beijing, China, 2005, pp. 108-116.
[78] A. D. Papadopoulos and E. N. Glytsis, "Finite-difference-time-domain analysis of finite-number-of-periods holographic and surface-relief gratings," Appl. Opt., vol. 47, pp. 1981-1994, 04/20 2008.
[79] J. Frances, C. Neipp, M. Perez-Molina, and A. Belendez, "Rigorous interference and diffraction analysis of diffractive optic elements using the finite-difference time-domain method," Computer Physics Communications, vol. 181, pp. 1963-1973, 12// 2010.
[80] A. C. Diebold, Handbook of Silicon Semiconductor Metrology: Taylor & Francis, 2001.
[81] R. F. Egerton, Physical Principles of Electron Microscopy: An Introduction to TEM, SEM, and AEM: Springer, 2005.
[82] J. Peatross and M. Ware. (2011). Physics of Light and Optics (2011c ed.). Available: optics.byu.edu
[83] M. Born, E. Wolf, and A. B. Bhatia, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light: Cambridge University Press, 1999.
[84] (2012). Gratings: Theory and Numeric Application (First ed.). Available: www.fresnel.fr/numerical-grating-book
[85] R. Petit and L. C. Botten, Electromagnetic theory of gratings: Springer-Verlag, 1980.
[86] Y. Kane, "Numerical solution of initial boundary value problems involving maxwell's equations in isotropic media," Antennas and Propagation, IEEE Transactions on, vol. 14, pp. 302-307, 1966.
[87] A. Taflove, Computational Electrodynamics: The Finite - Difference Time - Domain Method: Artech House, Incorporated, 1995.
[88] K. E. Mahboub, F. Yang, and A. Z. Elsherbeni, Scattering Analysis of Periodic Structures Using Finite-Difference Time-Domain Method: Morgan & Claypool Publishers, 2012.
[89] A. Aminian and Y. Rahmat-Samii, "Spectral FDTD: a novel computational technique for the analysis of periodic structures," in Antennas and Propagation Society International Symposium, 2004. IEEE, 2004, pp. 3139-3142 Vol.3.

QR CODE