簡易檢索 / 詳目顯示

研究生: 石博名
Po-Ming Shih
論文名稱: 注入鎖定除四、除七及除十除頻器之研究
Divide-by-4 , Divide-by 7 and Divide-by 10 Injectio-Locked Frequency Dividers
指導教授: 張勝良
Sheng-Lyang Jang
口試委員: 張勝良
Sheng-Lyang Jang
黃進芳
Jhin-Fang Huang
莊敏宏
Miin-Horng Juang
溫俊瑜
Jiun-Yu Wen
學位類別: 碩士
Master
系所名稱: 電資學院 - 光電工程研究所
Graduate Institute of Electro-Optical Engineering
論文出版年: 2019
畢業學年度: 107
語文別: 英文
論文頁數: 154
中文關鍵詞: 壓控振盪器注入鎖定除頻器環型振盪器
外文關鍵詞: CML
相關次數: 點閱:252下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  •   隨著無線通訊系統迅速的發展,各式頻率合成器被研發出來,又以系統單晶片(System-On-Chip)為主要趨勢。其中鎖相迴路(Phase-Locked-Loop,PLL)在眾多領域有廣大的應用,如無線通信、數位電視、廣播等。在無線通信系統中,PLL的特性非常重要,其內部包含了相位偵測器(PFD)、充電幫浦(CP)、迴路濾波器(LF)、壓控振盪器(VCO)、除頻器(FD),上述之中以壓控振盪器與除頻器為核心電路,而本論文主要研究三種不同的注入鎖定除頻器設計。
      首先,第一部分我們研究一個使用電容交叉耦合式之除四注入鎖定除頻器是由環性震盪除二除頻器疊接於LC除二注入鎖定除頻器之上的架構,除四注入鎖定除頻器是使用台積電BICMOS 0.18微米製程。在0dBm的注入功率下有6.1GHz到10.9GHz的鎖頻範圍,功率消耗為8.24mW,無變容器的注入鎖定除四除頻器有較小的晶片面積0.939×0.728 mm2。
      接著,第二部份我們研究一個台積電CMOS 0.18微米製程的寬頻帶除七LC注入鎖定除頻器。此除頻器主要使用三個螺旋電感和寄生的電容作為諧振器,其中包含一組電容交叉耦合MOSFET開關與兩個電感串連的兩個注入MOSFET。晶片面積為1.084 ×1.042 mm2,鎖頻範圍從15.7GHz到17.9GHz共有2.2GHz,功率消耗為9mW。
      最後,這篇論文提出一個台積電CMOS 0.18微米製程的注入鎖定除十除頻器,此除頻器使用CML (current-mode logic) 除二除頻器疊接使用電容交叉耦合式之除五注入鎖定除頻器所構成;CML則為在flip-flop啟用上緣clock輸出切換到輸入,以致於輸出頻率為輸入的一半;且負載較為小,則有較小的RC延遲,但需要提高電壓來補償下降的迴路增益,做為功耗與延遲之tradeoff。因此使用CML疊接ILFD,讓直流和交流電流可以更有效使用。疊接的除頻器在不同的操作中選擇適當的頻率並以適度的直流消耗實現適度的頻帶寬。在注入強度為0dBm的情況下有1.25GHz的鎖頻範圍從15GHz到16.25GHz,功率消耗為12.5mW,並且具有除六的功能,晶片面積為1.2x1.2 mm2。


      With the rapid development of wireless communication systems, various frequency synthesizers have been developed, with system-on-chip as the main trend. Among them, Phase-Locked-Loop (PLL) has a wide range of applications in many fields, such as wireless communication, digital TV, and broadcasting. In wireless communication systems, the characteristics of the PLL are very important, including the phase detector (PFD), charging pump (CP), loop filter (LF), voltage controlled oscillator (VCO), and frequency divider ( FD), the above-mentioned voltage-controlled oscillator and frequency divider are the core circuits, and this paper mainly studies three different injection-locked frequency divider designs.
      First, we study a CMOS divide-by-4 injection-locked frequency divider (ILFD) with a divide-by-2 ring oscillator stacked on a capacitive cross-coupled oscillator used as an LC divide-by-2 ILFD. The divide-by-4 ILFD in the TSMC 0.18 μm 3P6M BiCMOS process has a locking range from 6.1 GHz to 10.9 GHz at the power consumption of 8.24 mW. The varactor-less divide-by-4 ILFD and occupies a small area of 0.939×0.7284 mm2.
      Secondly, a wide locking range divide-by-7 LC ILFD manufactured in the TSMC 0.18 μm processes. The 7:1 LC ILFD uses three spiral inductors and parasitic capacitors as the resonator, a capacitive cross-coupled switching FETs and two injection FETs in series with two inductors. The die area is 1.084 ×1.042 mm2. The proto-type 0.18 μm CMOS ILFD has the locking range 2.2 GHz from 15.7 GHz to 17.9 GHz at the power consumption of 9 mW.
      Finally, a CMOS divide-by-10 injection-locked frequency divider (ILFD) with a divide-by-2 current-mode logic (CML) stacked on a capacitive cross-coupled oscillator used as an LC divide-by-5 ILFD. the divide-by-10 ILFD in the TSMC 0.18 μm 1P6M CMOS process has a locking range 1.25 GHz from 15.0 GHz to 16.25 GHz at the power consumption of 12.5 mW. The divide-by-10 ILFD uses the CML FD with wide locking range to track the input frequency from the LC ILFD output, and occupies a small area of 1.2×1.2 mm2. The ILFD can be used in divide-by-6 mode.

    摘要 Abstract II 致謝 IV Table of Contents V List of Figures IX List of Tables XVII Chapter 1 Introduction 1 1.1 Background 1 1.2 Thesis Organization 5 Chapter 2 Overview of the Voltage-Controlled Oscillators 7 2.1 Introduction 7 2.2 Theory of Oscillators 9 2.2.1 Positive Feedback (Two-Port) Oscillators 10 2.2.2 Negative Resistance (One-Port) Oscillators 13 2.3 The Classification of Oscillators 16 2.3.1 Ring Oscillator 16 2.3.2 LC-Tank Oscillator 20 2.3.3 Research of RLC Tank 25 2.3.4 Type of LC Oscillator 29 2.4 Design Parameter of Voltage-Controlled Oscillator 37 2.5 Significant Issue of Voltage-Controlled Oscillator 41 2.5.1 Phase Noise 41 2.5.2 The LTI (Linear Time-Invariant) Phase Noise Model 43 2.5.3 The LTV (Linear Time-Variant) Phase Noise Model 44 2.5.4 Quality Factor 48 2.5.5 Figure of Merit [dBc/Hz] 49 2.6 Elements of Semiconductor Process 50 2.6.1 Resistor 50 2.6.2 Inductor 52 2.6.3 Capacitor 62 2.6.4 Varactor 65 Chapter 3 Design of Injection Locked Frequency Divider 73 3.1 Principle of Injection Locked Frequency Divider 75 3.2 Locking Range 77 3.3 A single injection of ILFD 80 Chapter 4 Current-Reused Divide-by-4 Injection-Locked Frequency Divider Using Ring-Oscillator Frequency Divider 82 4.1 Introduction 82 4.2 Circuit Design 87 4.3 Measurement Results and Discussion 90 Chapter 5 Wide Locking Range LC-Tank Divide-by-7 Injection-Locked Frequency Dividers 96 5.1 Introduction 96 5.2 Circuit Design 98 5.3 Measurement Results and Discussion 101 Chapter 6 Divide-by-10 Capacitive Cross-Coupled Injection-Locked Frequency Divider 108 6.1 Introduction 108 6.2 Circuit Design 111 6.3 Measurement Results and Discussion 113 Chapter 7 Conclusions 125 References 127

    [1] B. Razavi, RF Microelectronics, Prentice Hall PTR, 1998.
    [2] S. Smith, “Microelectronic Circuit 4th edition,” Oxford University Press 1998.
    [3] J. Roggers, C. Plett, “Radio frequency integrated circuit design,” Artech House, 2003.
    [4] N. M. Nguyen and R. G. Meyer, “Start-up and frequency stability in high-frequency oscillators,” IEEE J. Solid-State Circuit, vol. 27, no. 5, pp. 810-820, May 1992.
    [5] B. Razavi, “Design of Integrated Circuits for Optical Communications,” Mc Graw Hill.
    [6] S. J. Lee, B. Kim, K. Lee, “A novel high-speed ring oscillator for multiphase clock generation using negative skewed delay scheme,” IEEE Journal of Solid-State Circuits, vol. 32, No. 2, February 1997.
    [7] G. Gonzalez, “Microwave Transistor Amplifiers Analysis and Design,” Prentice Hall, 1997.
    [8] S. H. Lee, S. L. Jang, “Implementation of New High Frequency CMOS VCOs and Injection-Locked Frequency Dividers,” April 2007.
    [9] J. Aguilera, and R. Berenguer, “Design and test of integrated inductors for RF applications,” Kluwer Academic Publishers, 2004.
    [10] B. De Muer, M. Borremans, M. Steyaert, and G. Li. Puma, “A 2GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization,” IEEE J. Solid-State Circuits, vol. 35, pp. 1034-1038, 2000.
    [11] S.Levantino, C. Samori, A. Bonfanti, S. L. J. Gierkink, A. L. Lacaita, and V. Boccuzzi, “Frequency dependence on bias current in 5GHz CMOS VCOs: impact on tuning range and flicker noise upconversion, ” IEEE J. Solid-State Circuits, vol. 37, pp. 1001-1003, 2002.
    [12] T. H. Lee, “The Design of CMOS Radio Frequency Integrated Circuits,” Cambridge University Press, 1998.
    [13] J. Craninckx and M. S. J. Steyaert, “A 1.8 GHz low-phase-noise CMOS VCO using optimized hollow spiral inductors,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 736–744, May 1997.
    [14] J. J. Kim, B. Kim, “A low-phase-noise CMOS LC oscillators with a ring structure,” ISSCC Digest of Technical Papers, pp. 430-431, Feb. 2000.
    [15] J. Savoj, B. Razavi, “High-Speed CMOS Circuits for Optical Receivers, “Kluwer Academic Publishers, 2001.
    [16] A. Rofougaran, J. Rael, M. Rofougaran, and A. Abidi, “A 900 MHz CMOS LC-oscillator with quadrature outputs,” in IEEE ISSCC Dig. Tech. Papers, pp. 392-393, Feb. 1996.
    [17] T. Lee, and A. Hajimiri, “Oscillator phase noise: a tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326-336, Mar. 2000.
    [18] D. Leeson, “A simple model of feedback oscillator noise spectrum,” Proceedings of the IEEE, vol. 54, pp. 329–330, Feb. 1966.
    [19] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998.
    [20] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620-628, April 2001.
    [21] C. P. Yue, C. Ryu, JackLau, T. H. Lee, and S. Wong, “A physical model for planar spiral inductors on silicon,” 1996 International Electron Devices Meeting Technical Digest, pp. 155–158, Dec. 1996
    [22] A. Hajimiri, and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999.
    [23] A. Hajimiri and T. H. Lee, The Design of Low Noise Oscillators, Springer 1999.
    [24] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE Journal of Solid-State Circuits, vol. 35, pp. 1368-1382, Sep. 2000.
    [25] Marc Tiebout, Low Power VCO Design in CMOS, Springer 2009.
    [26] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July 1996.
    [27] Q. Huang and R. Rogenmoser, “Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks,” IEEE J. Solid-State Circuits, vol. 31, pp. 456-463, Mar. 1996.
    [28] J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18-μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
    [29] H. R. Rategh, and T.H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, pp. 813-821, June 1999.
    [30] H. Wu, and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 μm CMOS frequency divider with shunt-peaking locking-range enhancement,” IEEE ISSCC Dig. Tech. Papers, pp. 412-413, Feb. 2001.
    [31] H. D. Wohlmuth and D. Kehrer, “A high sensitivity static 2:1 frequency divider up to 27 GHz in 120 nm CMOS,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 823-826, Sept. 2002.
    [32] R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1 GHz and 2.8 GHz CMOS injection- locked ring oscillator prescalers,” IEEE Symposium on VLSI Circuits, pp. 47-50, June 2001.
    [33] P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An injection locking scheme for precision quadrature generation,” IEEE J. Solid-State Circuits, vol. 37, pp. 845-851, July 2002.
    [34] W. Z. Chen, and C. L. Kuo, “18 GHz and 7 GHz superharmonic injection-locked dividers in 0.25μm CMOS technology,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 89-92, Sept. 2002.
    [35] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May 2006.
    [36] K. Yamamoto and M. Fujishima, “70 GHz harmonic injection-locked divider,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 600–60 .
    [37] S.-L. Jang, Y.-H. Chuang, S.-H. Lee, and J.-J. Chao,” Circuit techniques for CMOS divide-by-4 frequency divider,” IEEE Microw. Wireless Compon. Lett., pp.217-219, March 2007.
    [38] S.-L. Jang, C. C. Liu and C.-W. Chung, ” A tail-injected divide-by-4 SiGe HBT injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 236-238, April 2009.
    [39] S.-L. Jang, T.-C. Kung and C.-W. Hsue," Wide-locking range divide-by-4 injection-locked frequency divider using linear mixer approach," IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp.398-400, 2017.
    [40] L. Wu and H. C. Luong, “A 0.6 V 2.2 mW 58-to-73GHz divide-by4 injection-locked frequency divider,” in Proc. IEEE Custom Integr. Circuits Conf. (CICC), Sep. 2012, pp. 1–4.
    [41] S.-L. Jang, S.-J. Jian and C.-W. Hsue," Wide-band divide-by-4 injection-locked frequency divider using harmonic mixer," IEEE Microw. Wireless Compon. Lett., 924-926, Oct. 2017.
    [42] S.-L. Jang and C.-C. Fu, “Wide locking range divide-by-4 LC-tank injection-locked frequency divider using series-mixers,” Analog Integr, Circuits Signal Process., vol. 78, no. 2, pp. 523–528, Feb. 2014.
    [43] Y.-H. Kuo, J.-H. Tsai, H.-Y. Chang, and T.-W. Huang, “Design and analysis of a 77.3% locking-range divide-by-4 frequency divider,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2477–2485, Oct. 2011.
    [44] A. Musa, K. Okada, and A. Matsuzawa, “Progressive mixing technique to widen the locking range of division-ratio injection-locked frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 3, pp. 1161–1173, Mar. 2013.
    [45] S.-L. Jang and C.-H. Fang, “Divide-by-4 capacitive cross-coupled injection-locked frequency dividers,” Analog Integr. Circuits Signal Process., vol. 86, no. 1, pp. 59–63, Jan. 2016.
    [46] S.-L. Jang, and C.-Y. Lin, ” A wide-locking range Class-C injection-locked frequency divider,” Electron lett .,vol. 50, 23, pp.1710-1712, 2014.
    [47] S.-L.Jang, X.-Y. Hang, and W.–T. Liu, ”Review: capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process, 88:97–104, 2016.
    [48] Y. S. Lin, W. H. Huang, C. L. Lu, and Y. H. Wang, “Wide-locking range multi-phase-outputs regenerative frequency dividers using even harmonic mixers and CML loop dividers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3065–3075, Dec. 2014.
    [49] S. H. Lee, S. L. Jang, and Y. H. Chung, “A low voltage divide-by4 injection locked frequency divider with quadrature outputs,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 373–375, May 2007.
    [50] S.-L. Jang, Y.-T. Chang, C.-W. Hsue, and M.-H. Juang, “Wide-locking range divide-by-4 injection-locked frequency divider using injection MOSFET DC-biased above threshold region,” Int. J. Circuit Theory Appl., vol. 44, no. 5, pp. 968–976, May 2016.
    [51] S.-L. Jang, J.-F. Huang, and F.-B. Lin, “Wide-locking range LCtank divide-by-4 injection-locked frequency divider using transformer feedback,” Int. J. RF Microw. Comput.-Aided Eng., vol. 25, no. 7, pp. 557–562, Sep. 2015.
    [52] S.-L. Jang, C.-H. Liu, C.-W. Chang, and M.-H. Juang," A low-voltage, low power divide-by-4 LC-tank injection-locked frequency divider, " Int. J. Electronics., Volume 98 Issue 4, p.521-527, April 2011.
    [53] S.-L. Jang, S.-J. Jian, and C.-W. Hsue, ” Frequency tuning hysteresis of a dual-resonance ÷3 cross-coupled injection-locked frequency divider,”IET Microw. Antennas Propag., vol. 12, no.8, pp. 1302-1309, 2018.
    [54] S. -L. Jang, T. -C. Kung, and C. -W. Hsue, “Wide-locking range divide-by-4 injection-locked frequency divider using linear mixer approach,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp 398-400, Apr. 2017.
    [55] S. -L. Jang, S. -J. Jian, and C. -W. Hsue, ”Wideband divide-by-4 injection locked frequency divider using harmonic mixer” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 10, pp. 924-926, Oct. 2017.
    [56] W.-C. Lai, S.-L. Jang, and L.-Y. Chen,” LC-tank injection-locked frequency divider with variable modulus,” IEEE Int. Wireless Symp. Guangzhou, China, May 19-22, 2019.
    [57] Y.-C. Lo, H.-P. Chen, J. Silva-Martinez, and S. Hoyos, “A 1.8V, sub-mW, over 100% locking range, divide-by-3 and 7 complementary-injection locked 4 GHz frequency divider,” in Proceedings of Custom Integrated Circuits Conference, 2009, pp. 259–262.
    [58] A. Bevilacqua, L. Lorenzon, N. Da Dalt, A. Gerosa, and A. Neviani, “A 4.1 to 5.1 GHz 430 A injection-locked frequency divider by 7 in 65 nm CMOS,” in Proc. ESSCIRC, Sep. 2010, pp. 150
    [59] S. Liu, Y. Zheng, W. M. Lim, and W. Yang, “Ring oscillator based injection locked frequency divider using dual injection paths,” IEEE Microw. Compon. Lett., vol. 25, no. 5, pp. 322–324, Mar. 2015.
    [60] J. R. Long and M. A. Copeland, “The modeling, characterization, and design of monolithic inductors for silicon RF ICs,” IEEE J. Solid State Circuits, vol. 32, no. 3, pp. 357–369, Mar. 1997.
    [61] G. de Astis, D. Cordeau, J.-M. Paillot, and L. Dascalescu, “A 5-GHz fully integrated full PMOS low-phase-noise VCO,” IEEE J. Solid State Circuits, vol. 40, no. 10, pp. 2087–2091, Oct. 2005.
    [62] J. Jeong and Y. Kwon, “V-band high-order harmonic injection-locked frequency-divider MMICs with wide bandwidth and low-power dissipation,” IEEE Trans. on Microwave Theory and Techniques, vol. 53, no. 6, pp. 1891–1898, June 2005.
    [63] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May 2006.
    [64] W. C. Lai, S.-L. Jang, S.-J. Jian, T.-C. Kung, and C.-W. Hsue,“Wide-locking range divide-by-5 injection-locked frequency divider using linear mixer approach for microwave device application,” in 2016 Int. Conf. Ubiquitous Wireless Broadband (ICUWB), pp. 1-3, 2016.
    [65] S.-L. Jang, Y.-J. Chen, C.-H. Fang, and W. C. Lai, “Enhanced locking range technique for frequency divider using dual-resonance RLC resonator,” Electron. Lett., vol. 51, no. 23, pp. 1888–1889, Nov. 2015.
    [66] S.-M. Li, H.-N. Yeh, and H.-Y. Chang, “A V-band 90-nm CMOS divide-by-10 injection-locked frequency divider using current-reused topology,” IEEE Microw. Wireless Compon. Lett., vol. 28, no. 1, pp. 76–78, Jan. 2018.
    [67] S.-L. Jang and C.-Y. Lin, “Wide-locking range class-C injection-locked frequency divider,” Electron. Lett., vol. 50, no. 23, pp. 1710–1712, Nov. 2014.

    無法下載圖示 全文公開日期 2024/08/13 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE