簡易檢索 / 詳目顯示

研究生: 張孟偉
Meng-Wei Chang
論文名稱: 基於異質多核心控制系統
Based on Heterogeneous Multi-Core Control Systems
指導教授: 蘇順豐
Shun-Feng Su
口試委員: 李祖添
蘇順豐
莊鎮嘉
陳美勇
余國瑞
陸敬互
學位類別: 博士
Doctor
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2023
畢業學年度: 112
語文別: 英文
論文頁數: 131
中文關鍵詞: 異質多核心控制系統自適應計算軟體定義硬體
外文關鍵詞: Heterogeneous multicore, control system, adaptive computing, software-defined hardware
相關次數: 點閱:47下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

1.異質多核心在控制系統中的應用:
本研究部分利用結合 Cortex A53 和 Cortex M4F 處理器的異質多核心架構開發模糊控制系統。 該設計整合了 PREEMPT-RT 和雙核心方法來改進即時任務管理,並採用模型-視圖-控制器 (MVC) 架構來實現更清晰的操作角色分離和增強的系統整合。 這種結構化方法提高了工業模糊控制應用的效能和可擴展性,確保高效的任務執行和系統可靠性。
2.計算科學異質多核心系統中的自適應計算:
該研究透過創建神經網路加速單元來探索自適應計算,該單元使用高級綜合(HLS)來實現,以有效地將高級描述轉換為數位電路。 此外,它還結合了脈動陣列來形成低功耗、高吞吐量的平行處理單元,促進適合廣泛神經網路運算的快速資料處理。 此設定支援可擴展且適應性強的計算電路,滿足科學研究和複雜數據分析不斷增長的需求。
整體影響:
這些研究方向證明了異質多核心系統在處理工業和科學領域複雜計算任務的有效性。 先進運算架構和結構化系統設計的整合增強了控制和處理解決方案的適應性和效率,為自動化和運算科學的進步鋪平了道路。


1. Application of Heterogeneous Multicores in Control Systems:
This research segment develops a fuzzy control system utilizing a heterogeneous multicore architecture combining Cortex A53 and Cortex M4F processors. The design integrates PREEMPT-RT and Dual-core approach for improved real-time task management and employs the Model-View-Controller (MVC) architecture for clearer operational role separation and enhanced system integration. This structured approach boosts performance and scalability in industrial fuzzy control applications, ensuring efficient task execution and system reliability.
2. Adaptive Computing in Heterogeneous Multicore Systems for Computational Science:
The study explores adaptive computing by creating a neural network acceleration unit, implemented using High-level Synthesis (HLS) to convert high-level descriptions into digital circuits efficiently. Additionally, it incorporates systolic arrays to form a low-power, high-throughput parallel processing unit, facilitating rapid data processing suitable for extensive neural network computations. This setup supports scalable and adaptable computational circuit, addressing the growing demands of scientific research and complex data analyses.
Overall Impact:
These research directions demonstrate the effectiveness of heterogeneous multicore systems in handling sophisticated computational tasks across industrial and scientific fields. The integration of advanced computational architectures and structured system design enhances the adaptability and efficiency of control and processing solutions, paving the way for advancements in automation and computational sciences.

Table of Contents 中文摘要 I Abstract II 致謝 III Table of Contents IV List of Figures VI List of Tables VIII Chapter 1 Introduction 9 1.1 Background 9 1.2 Traditional and Next-Generation Control Systems 14 1.3 Heterogeneous Multi-Core and Real-Time Capabilities 19 1.4 Motivation 22 1.5 System Overview 24 1.6 Contributions 29 1.7 Thesis Organization 31 Chapter 2 Multi-Core Processors 33 2.1 Homogeneous Multi-Core Processors 35 2.2 Heterogeneous Multi-Core Processors 37 2.3 Communication in Heterogeneous Multi-Core Systems 42 2.4 Heterogeneous Hybrid Computing structure 45 2.4.1 Fuzzy Modelling Porting 49 2.4.2 Fuzzy Modelling 50 2.5 Heterogeneous Hybrid Control Systems 53 Chapter 3 Heterogeneous Multicore Adaptive Chip 58 3.1 Neural network framework – architecture 64 3.1.1 High performance convolution - GEMM/Im2col 66 3.1.2 Matrix multiplication 70 3.2 Systolic arrays are specialized hardware architectures 72 3.3 Soc platform 75 3.3.1 High-level Synthesis 82 3.3.2 High-level synthesis - directive 83 3.4 Adaptive computing / software defined hardware 88 3.5 Adaptive operating system – actions 91 Chapter 4 Adaptive Computing - Neural Network Acceleration Unit 94 4.1 Matrix Acceleration Unit Design Operation Flow 96 4.2 Software-defined system-on-chip (SoC) 99 4.3 Block Data segmentation algorithm 100 Chapter 5 Experiments 102 5.1 Mixed simulation and verification of physical entities 104 5.2 High-level synthesis (HLS) - Systolic arrays 108 5.3 Implementation of neural acceleration unit 110 5.4 Results 112 5.5 Math And Memory Bounds 116 Chapter 6 Conclusion 118 6.1 Conclusion 118 6.2 Future Work 119 References 122 List of Figures Figure 1 1 Microprocessor and Microprocessor Block Diagram. 15 Figure 1 2 Design flow of AMD/Xilinx Vivado HLS 26 Figure 1 3 Design flow of Xilinx Vivado HLS 27 Figure 2 1 TI AM335x Functional Block Diagram. 37 Figure 2 2 Big.Little heterogeneous multiprocessing. 39 Figure 2 3 Functional Block Diagram of Various Brand. 41 Figure 2 4 RPMsg Communication protocol. 43 Figure 2 5 RPMsg Interrupt Communication. 44 Figure 2 6 Hybrid control Systems - Block diagram. 47 Figure 2 7 Hybrid control Systems – MVC design structure. 48 Figure 2 6 Fuzzy Modelling Porting. 50 Figure 2 7 Fuzzy Mamdani Rule Inference. 52 Figure 2 8 Fuzzy Mamdani Control Surface. 53 Figure 2 9 Based on Smart Cockpit and Fuzzy Control System. 55 Figure 2 10 Fuzzy Control Environment for TI SK-AM62P-LP 56 Figure 3 1 Neural network framework operation. 65 Figure 3 2 GEMM operation. 67 Figure 3 3 Systolic arrays workflow. 73 Figure 3 4 Kria Robotics Stack (KRS). 77 Figure 3 5 Kria KV260 Verification platform Block Diagram. 79 Figure 3 6 Kria KV260 Vision AI Starter Kit. 81 Figure 3 7 Software-defined definition and characteristics. 90 Figure 3 8 Adaptive operating system – actions. 92 Figure 4 1 Software-Defined SoC - Neural Network Acceleration Unit. 95 Figure 4 2 Matrix Acceleration Unit Design Operation Flow. 98 Figure 4 3 Block Data segmentation algorithm. 101 Figure 5 1 Accelerated circuit architecture & data processing. 104 Figure 5 2 The design flow of a IC. 105 Figure 5 3 HLS Algorithm and Acceleration Circuit Flow. 107 Figure 5 4 X86 platform systolic array – int data format. 113 Figure 5 5 X86 platform systolic array – float data format. 114 Figure 5 6 X86 platform systolic array – double data format. 114 Figure 5 7 Xilinx platform systolic array – int 8 format. 115 Figure 5 8 Xilinx platform systolic array – int 16 format. 115 Figure 5 9 Xilinx platform systolic array – int 32 format. 116 List of Tables Table 1 1 Most Common Control Methods. [1,2,3] 11 Table 1 2 Comparison Fuzzy Control and Neural Network Control. 13 Table 1 3 Microprocessor/ Microcontroller Differences. 16 Table 1 4 The Advantages of Heterogeneous Multi-Core Systems. 18 Table 1 5 Methods for Achieving Real-Time System Response 21 Table 2 1 Homogeneous / Heterogeneous Multi-Core Processors. 35 Table 2 2 Fuzzy Modelling membership functions. 52 Table 2 3 Power Consumption in Different System States. 57 Table 3 1 Adaptive and traditional chip comparison. 59 Table 3 2 Adaptive and traditional chip comparison. 61 Table 3 3 Kria KV260 Vision AI Starter Kit Product Details. 81 Table 3 4 Optimizing for Latency. 86 Table 3 5 Optimizing for Area. 87 Table 5 3 Synthesis Circuit and Analog Server Specifications 107 Table 5 1 Hardware cost analysis different programming languages. 109 Table 5 2 Software-defined SoC - neural network acceleration unit. 111

[1] Zheng, J., Xu, L., Hu, Q., & Xie, L. (2023). Books in the IEEE Press Series on Control Systems Theory and Applications. In Control over Communication Networks: Modeling, Analysis, and Design of Networked Control Systems and Multi-Agent Systems over Imperfect Communication Channels (pp. 259-259). IEEE. https://doi.org/10.1002/9781119885825.series
[2] Advanced Control Systems: Theory and Applications. (2021). Part II Advances in Control Systems Applications. In Advanced Control Systems: Theory and Applications (pp. 229-229). River Publishers.
[3] Advanced Control Systems: Theory and Applications. (2021). Part I Advances in Theoretical Research on Automatic Control. In Advanced Control Systems: Theory and Applications (pp. 1-1). River Publishers.
[4] Chen, C.-H., & Naidu, D. S. (2018). "Soft Computing/Control Strategies," In Fusion of Hard and Soft Control Strategies for the Robotic Hand , IEEE. doi: 10.1002/9781119273622.ch4.
[5] Oklobdzija, V. G., Stojanovic, V. M., Markovic, D. M., & Nedovic, N. M. (2003)."Microprocessor Examples," In Digital System Clocking: High-Performance and Low-Power Aspects,IEEE,pp.189-231, doi: 10.1002/0471723703.ch9.
[6] Dale R. Patrick; Stephen W. Fardo. (2008). "Chapter 6—Computers and Microprocessors." In Electricity and Electronics Fundamentals. River Publishers, pp. 207-220.
[7] Dale R. Patrick; Stephen W. Fardo. (2009). "Chapter 8—Microprocessor Systems." In Industrial Process Control Systems. River Publishers, pp. 327-394.
[8] Clarke, D., Ilic, A., Lastovetsky, A., Rychkov, V., Sousa, L., & Zhong, Z. (2014). Design and Optimization of Scientific Applications for Highly Heterogeneous and Hierarchical HPC Platforms Using Functional Computation Performance Models. In High-Performance Computing on Complex Environments (pp. 235-260). IEEE. doi: 10.1002/9781118711897.ch13
[9] D'Agostino, D., Clematis, A., & Danovaro, E. (2014). Heterogeneous Parallel Computing Platforms and Tools for Compute‐Intensive Algorithms: A Case Study. In High-Performance Computing on Complex Environments (pp. 193-213). IEEE.doi:10.1002/9781118711897.ch11
[10] Clarke, D., Ilic, A., Lastovetsky, A., Rychkov, V., Sousa, L., & Zhong, Z. (2014). Design and Optimization of Scientific Applications for Highly Heterogeneous and Hierarchical HPC Platforms Using Functional Computation Performance Models. In High-Performance Computing on Complex Environments (pp. 235-260). IEEE.doi:10.1002/9781118711897.ch13
[11] D'Agostino, D., Clematis, A., & Danovaro, E. (2014). Heterogeneous Parallel Computing Platforms and Tools for Compute‐Intensive Algorithms: A Case Study. In High-Performance Computing on Complex Environments (pp. 193-213). IEEE. doi: 10.1002/9781118711897.ch11.
[12] Duca, L.-C., & Duca, A. (2020). Achieving Hard Real-Time Networking on PREEMPT_RT Linux with RTnet. In 2020 International Symposium on Fundamentals of Electrical Engineering (ISFEE) (pp. 1-4). Bucharest, Romania. doi: 10.1109/ISFEE51261.2020.9756165.
[13] Chen, X., Kong, X., Ling, Y., & Cao, X. (2021). DDS Performance Evaluation for PREEMPT_RT Linux. In 2021 International Conference on Computer, Blockchain and Financial Development (CBFD) (pp. 84-89). Nanjing, China.doi: 10.1109/CBFD52659.2021.00024.
[14] Hart, D., Stultz, J., & Ts'o, T. (2008). Real-time Linux in real time. IBM Systems Journal, 47(2), 207-220.doi: 10.1147/sj.472.0207.
[15] Kim, J., & Ryu, M. (2008). APRIX: A Master-Slave Operating System Architecture for Multiprocessor Embedded Systems. In 2008 12th IEEE International Workshop on Future Trends of Distributed Computing Systems. Kunming, China.
[16] Yu, K., Han, D., Youn, C., Hwang, S., & Lee, J. (2013). Power-aware task scheduling for big.LITTLE mobile processor. In 2013 International SoC Design Conference (ISOCC) (pp. 208-212). Busan, Korea (South). doi: 10.1109/ISOCC.2013.6864009.
[17] Nikov, K., Nunez-Yanez, J. L., & Horsnell, M. (2015). Evaluation of Hybrid Run-Time Power Models for the ARM Big.LITTLE Architecture. In 2015 IEEE 13th International Conference on Embedded and Ubiquitous Computing (pp. 205-210). Porto, Portugal.
[18] Nam, Y., & Park, M. (2018). Energy-aware core switching for big.LITTLE multicore mobile platform. In 2018 IEEE International Conference on Consumer Electronics (ICCE) (pp. 1-2). Las Vegas, NV, USA. doi: 10.1109/ICCE.2018.8326264.
[19] Han, X., Fu, Y., & Jiang, J. (2016). A low cost design of hardware support for on-chip message passing in manycore processors. In 2016 6th International Conference on Electronics Information and Emergency Communication (ICEIEC) (pp. 326-329). Beijing, China. doi: 10.1109/ICEIEC.2016.7589749.
[20] Klenk, B., Fröening, H., Eberle, H., & Dennison, L. (2017). Relaxations for High-Performance Message Passing on Massively Parallel SIMT Processors. In 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS) (pp. 855-865). Orlando, FL, USA. doi: 10.1109/IPDPS.2017.94.
[21] Nam, Y., & Park, M. (2018). Energy-aware core switching for big.LITTLE multicore mobile platform. In 2018 IEEE International Conference on Consumer Electronics (ICCE) (pp. 1-2). Las Vegas, NV, USA. doi: 10.1109/ICCE.2018.8326264.
[22] Lee, J.-H., Cha, S.-J., Jeon, S.-H., & Jung, S. (2016). A study on the method of the remote IPC based on xeon-phi hardware platform. In 2016 International Conference on Information and Communication Technology Convergence (ICTC) (pp. 601-603). Jeju, Korea (South). doi: 10.1109/ICTC.2016.7763542.
[23] Harting, R. C., & Dally, W. J. (2015). On-Chip Active Messages for Speed, Scalability, and Efficiency. IEEE Transactions on Parallel and Distributed Systems, 26(2), 507-515. doi: 10.1109/TPDS.2014.2307874.
[24] Zheng, N., & Mazumder, P. (2020). Artificial Neural Networks in Hardware. In Learning in Energy-Efficient Neuromorphic Computing: Algorithm and Architecture Co-Design (pp. 61-118). IEEE. doi: 10.1002/9781119507369.ch3.
[25] IEEE (The Institute of Electrical and Electronics Engineers). (2020). IEEE Standard for Software-Hardware Interface for Multi-Many-Core. IEEE 2804-2019. New York: IEEE Standards Association.doi: 10.1109/IEEESTD.2020.8985663.
[26] Papaefstathiou, I., & Hatzopoulos, A. (2021). Analog IC Design for Smart Applications in a Smart World. In Heterogeneous Cyber Physical Systems of Systems (pp. 211-233). River Publishers.
[27] Nane, R., et al. (2016). A Survey and Evaluation of FPGA High-Level Synthesis Tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(10), 1591-1604.doi: 10.1109/TCAD.2015.2513673.
[28] Schafer, B. C., & Wang, Z. (2020). High-Level Synthesis Design Space Exploration: Past, Present, and Future. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(10), 2628-2639.doi: 10.1109/TCAD.2019.2943570.
[29] Sejnowski, T. J. (2018). Convolutional Learning. In The Deep Learning Revolution (pp. 127-142). MIT Press.
[30] IEEE (The Institute of Electrical and Electronics Engineers). (2022). IEEE Standard for Artificial Intelligence (AI) Model Representation, Compression, Distribution, and Management. IEEE 2941-2021. New York: IEEE Standards Association. doi: 10.1109/IEEESTD.2022.9739118.
[31] Vasudevan, A., Anderson, A., & Gregg, D. (2017). Parallel Multi Channel Convolution using General Matrix Multiplication. In 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP) (pp. 19-24). Seattle, WA, USA. Washington, DC: IEEE.doi: 10.1109/ASAP.2017.7995254.
[32] Lu, G., et al. (2020). A Design of 16TOPS Efficient GEMM Module in Deep Learning Accelerator. In 2020 IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA) (pp. 59-60). Nanjing, China.doi: 10.1109/ICTA50426.2020.9332090.
[33] Firmansyah, I., Setiadi, B., Kurniawan, D., Indrawijaya, R., Subekti, A., & Rohman, B. P. A. (2023). General Matrix Multiplication (GEMM) Evaluation on Cyclone-V SoC FPGA Using OpenCL. In 2023 International Conference on Radar, Antenna, Microwave, Electronics, and Telecommunications (ICRAMET) (pp. 12-17). Bandung, Indonesia.doi: 10.1109/ICRAMET60171.2023.10366619.
[34] Garg, R., & Hendren, L. (2014). A Portable and High-Performance General Matrix-Multiply (GEMM) Library for GPUs and Single-Chip CPU/GPU Systems. In 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (pp. 672-680). Turin, Italy.doi: 10.1109/PDP.2014.40.
[35] Sudrajat, M. R. D., Adiono, T., & Syafalni, I. (2019). GEMM-Based Quantized Neural Network FPGA Accelerator Design. In 2019 International Symposium on Electronics and Smart Devices (ISESD) (pp. 1-5). Badung, Indonesia.doi: 10.1109/ISESD.2019.8909538.
[36] Colangelo, P., Sengupta, S., & Margala, M. (2020). Sparse Persistent GEMM Accelerator using OpenCL for Intel FPGAs. In 2020 IEEE International Symposium on Circuits and Systems (ISCAS) (pp. 1-6). Seville, Spain.doi: 10.1109/ISCAS45731.2020.9181281.
[37] Vasudevan, A., Anderson, A., & Gregg, D. (2017). Parallel Multi Channel convolution using General Matrix Multiplication. In 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP) (pp. 19-24). Seattle, WA, USA.doi: 10.1109/ASAP.2017.7995254.
[38] Su, C.-L., Lai, W.-C., Zhang, Y.-K., Guo, T.-J., Hung, Y.-J., & Chen, H.-C. (2020). Artificial Intelligence Design on Embedded Board with Edge Computing for Vehicle Applications. In 2020 IEEE Third International Conference on Artificial Intelligence and Knowledge Engineering (AIKE) (pp. 130-133). Laguna Hills, CA, USA.doi: 10.1109/AIKE48582.2020.00026.
[39] Yan, D., Wu, T., Liu, Y., & Gao, Y. (2017). An efficient sparse-dense matrix multiplication on a multicore system. In 2017 IEEE 17th International Conference on Communication Technology (ICCT) (pp. 1880-1883). Chengdu, China.doi: 10.1109/ICCT.2017.8359956.
[40] Li, Z., Xu, H., Su, C., Dong, J., & Wang, X. (2020). Effective Matrix-Vector Multiplication Computations on Account of Physically Based Matrix Distribution. In 2020 IEEE International Conference on Power, Intelligent Computing and Systems (ICPICS) (pp. 695-698). Shenyang, China.doi: 10.1109/ICPICS50287.2020.9202274.
[41] Shyamala, K., Kiran, K. R., & Rajeshwari, D. (2017). Design and implementation of GPU-based matrix chain multiplication using C++AMP. In 2017 Second International Conference on Electrical, Computer and Communication Technologies (ICECCT) (pp. 1-6). Coimbatore, India.doi: 10.1109/ICECCT.2017.8117870.
[42] Cyganek, B. (2020). Basics of Parallel Programming. In *Introduction to Programming with C++ for Engineers* (pp. 553-576). IEEE.doi: 10.1002/9781119431152.ch8.
[43] Lahari, P. L., Yellampalli, S. S., & Vaddi, R. (2021). Systolic Array based Multiply Accumulation Unit for IoT Edge Accelerators. In 2021 IEEE International Symposium on Smart Electronic Systems (iSES) (pp. 220-223). Jaipur, India. doi: 10.1109/iSES52644.2021.00058.
[44] Kung, H. T. (1982). Why Systolic Architectures? IEEE Computer, 15(1), 37–46.doi: 10.1109/MC.1982.1653825
[45] Tiwari, A., Mishra, S. M., Guha, P., Jan, P., Nemec, Z., & Trivedi, G. (2022). Design of a Low Power and Area Efficient Bfloat16 based Generalized Systolic Array for DNN Applications. In 2022 32nd International Conference Radioelektronika (RADIOELEKTRONIKA) (pp. 1-5). Kosice, Slovakia. doi: 10.1109/RADIOELEKTRONIKA54537.2022.9764899.
[46] Xu, R., Ma, S., Wang, Y., & Guo, Y. (2020). CMSA: Configurable Multi-directional Systolic Array for Convolutional Neural Networks. In 2020 IEEE 38th International Conference on Computer Design (ICCD) (pp. 494-497). Hartford, CT, USA.doi: 10.1109/ICCD50377.2020.00089.
[47] Adiono, T., Meliolla, G., Setiawan, E., & Harimurti, S. (2018). Design of Neural Network Architecture using Systolic Array Implemented in Verilog Code. In 2018 International Symposium on Electronics and Smart Devices (ISESD) (pp. 1-4). Bandung, Indonesia. doi: 10.1109/ISESD.2018.8605478.
[48] Waris, H., Wang, C., Liu, W., & Lombardi, F. (2019). Design and Evaluation of a Power-Efficient Approximate Systolic Array Architecture for Matrix Multiplication. In 2019 IEEE International Workshop on Signal Processing Systems (SiPS) (pp. 13-18). Nanjing, China.doi: 10.1109/SiPS47522.2019.9020404.
[49] Rathod, G., Shah, P., Gajjar, R., Patel, M. I., & Gajjar, N. (2023). Implementation of Real-Time Object Detection on FPGA. In 2023 7th International Conference on Trends in Electronics and Informatics (ICOEI) (pp. 235-240). Tirunelveli, India.doi: 10.1109/ICOEI56765.2023.10125958.
[50] Sola-Thomas, E., Sarker, M. A. B., & Imtiaz, M. (2023). FPGA-Controlled AI Vision for Prosthetic Hand. In 2023 IEEE World AI IoT Congress (AIIoT) (pp. 0520-0524). Seattle, WA, USA.doi: 10.1109/AIIoT58121.2023.10174491.
[51] Ramesh, S. N., Sarkar, M., Audette, M., & Paolini, C. (2023). Efficient Real-time Fall Prediction and Detection using Privacy-Centric Vision-based Human Pose Estimation on the Xilinx® Kria™ K26 SOM. In 2023 IEEE Biomedical Circuits and Systems Conference (BioCAS) (pp. 1-5). Toronto, ON, Canada.doi: 10.1109/BioCAS58349.2023.10388590.
[52] Rizk, M., Heller, D., Douguet, R., Baghdadi, A., & Diguet, J.-P. (2022). Optimization of Deep-Learning Detection of Humans in Marine Environment on Edge Devices. In 2022 29th IEEE International Conference on Electronics, Circuits and Systems (ICECS) (pp. 1-4). Glasgow, United Kingdom.doi: 10.1109/ICECS202256217.2022.9970780.
[53] Trinh, D., Ouyang, J., & Choo, C. (2023). Design and Analysis of an FPGA-based CNN for Exercise Recognition. In 2023 TRON Symposium (TRONSHOW) (pp. 1-8). Tokyo, Japan.
[54] Shah, P., Rathod, G., Gajjar, R., Gajjar, N., & Patel, M. I. (2023). Plant Leaf Disease Classification using Convolutional Neural Network on FPGA. In 2023 International Conference on Device Intelligence, Computing and Communication Technologies (DICCT) (pp. 307-311). Dehradun, India. doi: 10.1109/DICCT56244.2023.10110124.
[55] Heller, D., Rizk, M., Douguet, R., Baghdadi, A., & Diguet, J.-P. (2022). Marine Objects Detection Using Deep Learning on Embedded Edge Devices. In 2022 IEEE International Workshop on Rapid System Prototyping (RSP) (pp. 1-7). Shanghai, China. doi: 10.1109/RSP57251.2022.10039025.
[56] Tatar, G., & Bayar, S. (2023). Real-Time Multi-Task ADAS Implementation on Reconfigurable Heterogeneous MPSoC Architecture. IEEE Access, 11, 80741-80760.doi: 10.1109/ACCESS.2023.3300379.
[57] Boppana, V., Ahmad, S., Ganusov, I., Kathail, V., Rajagopalan, V., & Wittig, R. (2015). UltraScale+ MPSoC and FPGA Families. In 2015 IEEE Hot Chips 27 Symposium (HCS) (pp. 1-37). Cupertino, CA, USA. Washington, DC: IEEE. doi: 10.1109/HOTCHIPS.2015.7477457.
[58] Garzia, F., Hussain, W., Airoldi, R., & Nurmi, J. (2009). A Reconfigurable SoC Tailored to Software Defined Radio Applications. In 2009 NORCHIP (pp. 1-4). Trondheim, Norway. Washington, DC: IEEE.doi: 10.1109/NORCHP.2009.5397829.

無法下載圖示 全文公開日期 2034/05/02 (校內網路)
全文公開日期 2034/05/02 (校外網路)
全文公開日期 2034/05/02 (國家圖書館:臺灣博碩士論文系統)
QR CODE