簡易檢索 / 詳目顯示

研究生: 陳映蓉
Ying-jung Chen
論文名稱: 一種基於叢集式技術並使用雙導通孔及矩形導通孔之可靠度與熱感知三維積體電路佈局規劃
A Reliability- and Thermal- Aware 3D Floorplanning with Double-STSVs and Rectangle-STSVs Based on Cluster-Based Techniques
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 沈中安
Chung-An Shen
方劭云
Shao-Yun Fang
甘滄棋
none
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2014
畢業學年度: 102
語文別: 英文
論文頁數: 45
中文關鍵詞: 三維積體電路佈局規劃可靠度雙導通孔矩形導通孔
外文關鍵詞: 3D floorplanning, reliability, double-STSV, rectangle-STSV
相關次數: 點閱:253下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

在三維積體電路的架構中,將多層的裝置垂直堆疊整合在一起,這樣的架構不僅會不利於散熱,還會使散熱問題更加嚴重,進而使整體電路的可靠度降低。
在本篇論文中,我們提出了考量散熱與可靠度的叢集式三維積體電路的佈局規劃。在佈局規劃中,使用成本函數的方式去平衡整個晶片的面積、線長、功率密度和訊號導通孔的密度之間的關係。並使用修改後的福特富爾克森方法來插入矩形訊號導通孔和雙訊號導通孔,藉以提高導通孔的可靠度。在插入訊號導通孔後,我們建構了一個精確的熱傳導模型來計算溫度分佈。經過溫度分佈的分析計算後,散熱導通孔將被放置稱為導孔通道的預留區域內。散熱導通孔的運行程序將重複運作持續到最高峰溫度降至指定溫度。
實驗結果顯示,我們的架構可以有效地將單一訊號導通孔改善為矩形訊號導通孔或雙訊號導通孔,使用率超過百分之八十以上,整個架構的可靠度大大提升;
此外,我們的所提出以精確的溫度計算熱模型的實驗架構,可以用極少數的散熱導通孔將最高峰溫度降至八十度左右。


In 3D-IC architecture, vertically stacked multiple layer impedes heat dissipation and exacerbates thermal problem especially for reliability degradation.
In this thesis, we propose a cluster-based reliability- and thermal- aware 3D floorplanning with cost function approach to place modules. In this floorplanning, the cost function considers both reliability and thermal factors function with the balance among the chip of area, wire length, power density and density of STSV. Then we insert rectangle-STSVs and double-STSVs for improving reliability by modified Ford-Fulkerson method. After STSV insertion, we construct a precise thermal conduction model to compute temperature distribution in terms of the resultant floorplan. The TTSVs will be inserted at some reserved regions, via-channel, by analytical computation based on temperature distribution. The TTSVs insertion process will repeat until the peak temperature achieved the threshold.
The experimental results show that more than 80% of single-STSVs can be replaced by rectangle-STSVs or double-STSVs, improving reliability accordingly.
Furthermore, our framework is able to reduce the peak temperature effectively based on a precise temperature computation model.
The temperature can be maintained around 80°C with minimal TTSVs.

Table of Contents Recommendation Form Committee Form Chinese Abstract English Abstract Acknowledgements Table of Contents List of Tables List of Figures 1 Introduction 2 Preliminaries 2.1 Cluster-Based 3D Thermal-Aware Floorplanning 2.2 Simulated Annealing 2.3 Via-Channel 2.4 Thermal Model 2.5 Novel TSV Mechanisms 2.6 Reliability Model 3 Proposed Methods 3.1 Problem Fomulation 3.2 Design Flow 3.3 Proposed Method 4 Experimental Results 5 Conclusions References Copyright Form

[1] I. Loi, S. Mitra, T. H. Lee, and S. Fujita, “A low-overhead fault tolerance scheme for TSV-based 3D network on chip links,” 2008 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 598 - 602, Nov. 2008.
[2] A. C. Hsieh, T. Hwang, M. T. Chang, M. H. Tsai, C. M. Tseng, and H. C. Li, “TSV redundancy: Architecture and design issues in 3DIC,” Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 166 - 171, Mar. 2010.
[3] C. L. Lung, J. H. Chien, Y. Shi, and S. C. Chang, “TSV fault-tolerant mechanisms with application to 3D clock networks,” 2011 International SoC Design Conference (ISOCC), pp. 127 - 130, Nov. 2011.
[4] J. Park, J. Jung, K. Yi, and C. M. Kyung, “Static energy minimization of 3D stacked L2 cache with selective cache compression,” 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC), pp. 228-233, Oct. 2011.
[5] G. Katti, M. Stucchi, D. Velenis, B. S., K. D. Meyer, and W. Dehaene, “Temperature-Dependent Modeling and Characterization of Through-Silicon Via Capacitance,” IEEE Electron Device Lett., vol. 32, no. 4, pp. 563 - 565, Apr. 2011.
[6] M. Lee, J. Cho, J. Kim, and J. S. Pak, “Thermal effects on through-silicon via (TSV) signal integrity,” 2012. IEEE 62nd Electronic Components and Technology Conference (ECTC), pp. 816 - 821, Jun. 2012.
[7] M. M. Sabry, A. K. Coskun, and D. Atienza, “Fuzzy control for enforcing energy efficiency in high-performance 3D systems,” 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 642 - 648, Nov. 2010.
[8] Z. Li, X. Hong, Q. Zhou, S. Zeng, J. Bian, W. Yu, H. H. Yang, V. Pitchumani, and C. K. Cheng, “Efficient Thermal via Planning Approach and Its Application in 3-D Floorplanning,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 4, pp. 645 - 658, Apr. 2007.
[9] A. Raman, M. Turowski, and M. Mar, “Layout Based Full Chip Thermal Simulations of Stacked 3D Integrated Circuits,” ASME 2003 International Mechanical Engineering Congress and Exposition, pp. 159 - 164, Nov. 2003.
[10] B. Goplen, and S. S. Sapatnekar, “Placement of thermal vias in 3-D ICs using various thermal objectives,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 4, pp. 692 - 709, Apr. 2006.
[11] S. Aroonsantidecha, S. S. Y. Liu, C. Y. Chin, and H. M. Chen, “A fast thermal aware placement with accurate thermal analysis based on Green function,” 2012 17th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 425 - 430, Jan. 2012.
[12] Y. Chen, E. Kursun, D. Motschman, C. Johnson, and Y. Xie, “Through Silicon Via Aware Design Planning for Thermally Efficient 3-D Integrated Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 9, pp. 1335 - 1346, Sep. 2013.
[13] J. Cong, and Y. Zhang, “Thermal via planning for 3-D ICs,” 2005 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 745 - 752, Nov. 2005.
[14] X. Li, Y. Ma, and X. Hong, “A novel thermal optimization flow using incremental floorplanning for 3D ICs,” 2009 Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 347 - 352, Jan. 2009.
[15] L. Xiao, S. Sinha, J. Xu, and E. F. Young, “Fixed-outline thermal-aware 3D floorplanning,” 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 561 - 567, Jan. 2010.
[16] J. T. Yan, Y. C. Chang, and Z. W. Chen,“Thermal via planning for temperature reduction in 3D ICs,” 2010 IEEE International SOC Conference (SOCC), pp. 392 - 395, Sep. 2010.
[17] C. H. Hsu, S. J. Ruan, Y. J. Chen, and T. C. Kan, “Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning” 2013 14th International Symposium on Quality Electronic Design (ISQED), pp. 316 - 321, Mar. 2013.
[18] C. C. Wen, Y. J. Chen,and S. J. Ruan, “Cluster-based thermal-aware 3D-floorplanning technique with post-floorplan TTSV insertion at via-channels” 2013 5th Asia Symposium on Quality Electronic Design (ASQED), pp. 200 - 207, Aug. 2013.
[19] S. Hu, Y. Y. G. Hoe, H. Li, D. Zhao, J. Shi, Y. Han, K. H. Teo, Y. Z. Xiong, J. He, and M Je, “A Thermal Isolation Technique Using Through-Silicon Vias for Three-Dimensional ICs,” IEEE Transactions on Electron Devices, vol. 60, no. 3, pp. 1282 - 1287, Mar. 2013.
[20] J. Cong, J. Wei, and Y. Zhang, “A thermal-driven floorplanning algorithm for 3D ICs,” 2004 IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 306 - 313, Nov. 2004.
[21] V. Nookala, D. J. Lilja, and S. S. Sapatnekar, “Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis,” Proceedings of the 2006 International Symposium on Low Power Electronics and Design (ISLPED), pp. 298 - 303, Oct. 2006.
[22] L. Scheffer, L. Lavagno, and G. Martin, EDA for IC Implementation, Circuit Design, and Process Technology, L. Scheffer, L. Lavagno, and G. Martin, Taylor and Francis, 2006.
[23] C. H. Tsai, and S. M. Kang, “Cell-level placement for improving substrate thermal distribution,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, no. 2, pp. 253 - 266, Fed. 2000.
[24] B. Goplen, and S. Sapatnekar, “Efficient thermal placement of standard cells in 3D ICs using a force directed approach,” 2003 International Conference on Computer Aided Design (ICCAD), pp. 86 - 89, Nov. 2003.
[25] P. Wilkerson, A. Raman, and M. Turowski, “Fast, automated thermal simulation of three-dimensional integrated circuits” 2004 ITHERM '04 The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, vol. 1, pp. 706 - 713, Jun. 2004.
[26] T. C. Kan, S. H. Yang, T. F. Chang, and S. J. Ruan, “Design of a Practical Nanometer-Scale Redundant Via-Aware Standard Cell Library for Improved Redundant Via1 Insertion Rate,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 1, pp. 142 - 147, Jan. 2013.
[27] A. Shayan, X. Hu, H. Peng, and C. K. Cheng, “Reliability aware through silicon via planning for 3d stacked ics,” 2009 Design, Automation \& Test in Europe Conference \& Exhibition (DATE), pp. 288 - 291, Apr. 2009.
[28] J. T. Yan, Z. W. Chen, Y. H. Chou, and S. H. Lin, “Thermal-driven white space redistribution for block-level floorplans,” 2008 15th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 662 - 665, Aug. 2008.
[29] L. Zhou, C. Wakayama, and C. R. Shi, “CASCADE: A Standard Supercell Design Methodology With Congestion-Driven Placement for Three-Dimensional Interconnect-Heavy Very Large-Scale Integrated Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 7, pp. 1270 - 1282, Jul. 2007.
[30] T. H. Cormem, C. E. Leiserson, R. L. Rivest, and C. Stein, Introduction To Algorithms, The MIT Press, Dec. 2009.
[31] G. V. der Plas, P. Limaye, I. Loi, and A. Mercha, “Design Issues and Considerations for Low-Cost 3-D TSV IC Technology,” IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp. 293 - 307, Jan 2011.
[32] [Online]. http://vlsicad.cs.binghamton.edu/benchmarks.html
[33] W. Hung, G. Link, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Interconnect and thermal-aware floorplanning for 3D microprocessors,” 2006 7th International Symposium on Quality Electronic Design (ISQED), pp. 98 - 104, Mar. 2006.

QR CODE