簡易檢索 / 詳目顯示

研究生: 高維澤
Wei-Ze Kao
論文名稱: 以自組裝單分子做為矽基材之阻障層進行區域選擇性蝕刻與區域選擇性沉積
Area-Selective Etching and Area-Selective Deposition on Silicon Substrates Using Self-Assembled Monolayers as Barrier Layer
指導教授: 陳良益
Liang-Yih Chen
口試委員: 陳敏璋
Miin-Jang Chen
殷瑀彤
Yu-Tung Yin
學位類別: 碩士
Master
系所名稱: 工程學院 - 化學工程系
Department of Chemical Engineering
論文出版年: 2023
畢業學年度: 111
語文別: 中文
論文頁數: 132
中文關鍵詞: 自組裝分子十八烷基三氯矽烷選擇性沉積選擇性蝕刻
外文關鍵詞: self-assembled molecules, octadecyltrichlorosilane (ODTS), area selective etching, area selective deposition
相關次數: 點閱:131下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

本研究旨在研究自組裝分子十八烷基三氯矽烷於矽基板進行選擇性被覆。藉由在大氣中進行十八烷基三氯矽烷的被覆條件測試,最後得出矽基板先以紫外光照射15分鐘進行表面改質後,再於具有十八烷基三氯矽烷分子的甲苯溶液中浸置3小時為最佳被覆條件,並進一步以此結果進行選擇性蝕刻與選擇性沉積的探討。於選擇性蝕刻研究中,經由Cassie Baxter方程式進行十八烷基三氯矽烷覆蓋率估算,約可達到95%。同時透過氫氧化鈉與三甲基氫氧化銨為蝕刻液,可證實十八烷基三氯矽烷確實可做為蝕刻阻障層。本研究之十八烷基三氯矽烷被覆製程可以讓矽基材抵擋0.1 M氫氧化鈉約一小時,造成最高蝕刻深度差異為5.89 μm,且能在異丙醇輔助下,將蝕刻深度差異增加至18.14 μm,且此製程之被覆矽基材能夠在1 wt%三甲基氫氧化銨抵擋約半小時,造成最高蝕刻深度差異為1105.58 μm。此外,以十八烷基三氯矽烷被覆之矽基材在原子層沉積技術進行氧化鋁薄膜沉積後,亦可發現其具有沉積阻擋效果,以本研究製程可以讓矽基材抵擋氧化鋁原子層沉積達到20個循環數,厚度差異達到17 Å。因此,在本研究中利用十八烷基三氯矽烷自組裝分子,可成功被覆於經紫外光處理後的矽基板上,並應用於矽半導體製程中進行具有選擇性蝕刻與沉積技術開發。


This study aims to investigate the selective attachment of self-assembled molecules (SAMs) of octadecyltrichlorosilane (ODTS) on silicon (Si) substrates. By conducting loading condition tests of ODTS in ambient atmosphere, it was determined that the optimal loading condition involves first modifying the surface of the Si substrate through 15 minutes of ultraviolet (UV) irradiation, followed by immersing it in a toluene solution containing ODTS molecules for 3 hours. This result was further used to explore area selective etching (ASE) and area selective deposition (ASD) techniques. In the ASE study, the coverage of ODTS molecules was determined using the Cassie-Baxter equation, which resulted in an approximate coverage of 95%. Sodium hydroxide (NaOH) and trimethylammonium hydroxide (TMAH) were used as etchants, confirming that ODTS molecules indeed function as an etch barrier layer. The ODTS loading process developed in this study enabled the Si substrate to resist 0.1 M NaOH for about one hour, resulting in a maximum etch depth difference of 5.89 μm. With the assistance of isopropanol (IPA), the etch depth difference could be increased to 18.14 μm. Furthermore, when ODTS molecules were loaded onto silicon substrates, it was observed that it acts as a deposition barrier layer when depositing aluminum oxide (AlOx) thin films using atomic layer deposition (ALD) technology. Using the developed process in this study, the Si substrate could resist up to 20 AlOx ALD cycles, with a thickness difference of 17 Å. Therefore, this research successfully demonstrated the loading of self-assembled ODTS molecules on UV-treated Si substrates and their application in the development of ASE and ASD techniques in silicon semiconductor processes.

中文摘要 I Abstract II 致謝 III 目錄 IV 圖目錄 VII 表目錄 XV 第一章、緒論 1 1-1前言 1 1-2 研究動機與目的 3 第二章、理論基礎與文獻回顧 5 2-1 半導體簡介 5 2-1-1 半導體概念 5 2-1-2 半導體能隙與能帶關係圖 7 2-1-3 本質半導體 10 2-1-4 異質半導體 12 2-2自組裝分子膜(Self-assembled molecules) 16 2-2-1 自組裝分子膜簡介 16 2-2-2 自組裝分子膜之歷史 17 2-2-3自組裝分子膜之自組裝原理與機制 18 2-2-4 常見的頭端官能基團 19 2-3 蝕刻(Etch) 23 2-3-1 蝕刻簡介 23 2-3-2 濕式蝕刻(Wet etching) 24 2-3-3乾式蝕刻(Dry etching) 26 2-3-4 各種基材的蝕刻方法 30 2-4原子層沉積(Atomic layer deposition,ALD) 35 2-4-1原子層沉積簡介與發展史 35 2-4-2 原子層沉積之成長機制 37 2-4-3 以自組裝分子進行區域選擇性原子層沉積(Area-selective atomic deposition,AS-ALD) 44 第三章、實驗設計 47 3-1 實驗流程圖 47 3-2 實驗藥品 48 3-3 實驗分析儀器與原理 50 3-3-1 接觸角量測儀(Contact angle meter) 50 3-3-2 橢圓偏振光儀 (Spectroscopic ellipsometer,SE) 52 3-3-3 X光光電子能譜儀 (X-ray photoemission spectroscopy,XPS) 55 3-3-4原子力顯微鏡(Atomic force microscope,AFM) 56 3-3-5高解析度場發射型掃描式電子顯微鏡(High resolution field-emission scanning electron microscope,FESEM) 58 3-4 實驗方法 59 3-4-1 液相法沉積自組裝單分子膜 61 3-4-2 矽基板圖案化製程(Patterning process) 62 3-4-3 自組裝分子的應用-選擇性蝕刻(Selective etching) 63 3-4-4 自組裝分子的應用-選擇性沉積(Selective deposition) 64 3-4-5 橢圓偏光儀量測方法 65 第四章、結果與討論 68 4-1十八烷基三氯矽烷於矽基板上的被覆製程 68 4-1-1矽基板的清潔製程 68 4-1-2 以食人魚溶液改質及紫外光改質進行ODTS被覆 71 4-2 以ODTS分子於矽基半導體材料進行選擇性蝕刻 87 4-2-1 不同蝕刻劑對ODTS分子被覆的矽基半導體與空矽基板蝕刻效果 87 4-2-2 於矽基板進行ODTS圖案化被覆並進行選擇性蝕刻 93 4-3以ODTS分子於矽基半導體材料進行選擇性沉積 103 4-3-1 以ODTS被覆之矽基板進行選擇性沉積討論與分析 103 4-3-2 於矽基板進行ODTS圖案化被覆並進行選擇性沉積 114 第五章、結論 121 第六章、參考文獻 123 附錄 A 132

1. T. Aaltonen, M. Ritala, Y.-L. Tung, Y. Chi, K. Arstila, K. Meinander and M. Leskelä, Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature, Journal of Materials Research, 19, 3353-3358 (2004).
2. S. Perumal, R. Atchudan, T. N. J. I. Edison, R. S. Babu, P. Karpagavinayagam and C. Vedhi, A short review on recent advances of hydrogel-based adsorbents for heavy metal ions, Metals, 11, 864 (2021).
3. H. F. Dylla and S. T. Corneliussen, John Ambrose Fleming and the beginning of electronics, Journal of Vacuum Science & Technology A, 23, 1244-1251 (2005).
4. S. E. Thompson and S. Parthasarathy, Moore's law: the future of Si microelectronics, Materials Today, 9, 20-25 (2006).
5. W. K. Wootters and W. H. Zurek, Complementarity in the double-slit experiment: Quantum nonseparability and a quantitative statement of Bohr's principle, Physical Review D, 19, 473-484 (1979).
6. X. Niu, N. Jakatdar, J. Bao, C. Spanos and S. Yedur, Specular spectroscopic scatterometry in DUV lithography. (SPIE, 1999).
7. T. Manouras and P. Argitis, High sensitivity resists for EUV lithography: a review of material design strategies and performance results, Nanomaterials, 10, 1593 (2020).
8. Y. Feng, W. K. Teo, K. S. Siow, Z. Gao, K. L. Tan and A. K. Hsieh, Corrosion protection of copper by a self-assembled monolayer of alkanethiol, Journal of The Electrochemical Society, 144, 55 (1997).
9. H. Y. Nie, N. S. McIntyre and W. M. Lau, Selective removal of octadecylphosphonic acid (OPA) molecules from their self-assembled monolayers (SAMs) formed on a Si substrate, Journal of Physics: Conference Series, 61, 869 (2007).
10. H. Y. Nie, N. S. McIntyre, W. M. Lau and J. M. Feng, Optical properties of an octadecylphosphonic acid self-assembled monolayer on a silicon wafer, Thin Solid Films, 517, 814 (2008).
11. J. F. Cabritaajavaara, A. S. Viana and L. M. Abrantes, Copper protection by phosphonic acid self-assembled monolayers, Corrosion Protection Material, 29, 114 (2010).
12. S. Park, H. Jung, K.-A. Min, J. Kim and B. Han, Unraveling the selective etching mechanism of silicon nitride over silicon dioxide by phosphoric acid: First-principles study, Applied Surface Science, 551, 149376 (2021).
13. D. Akoury, K. Kreidi, T. Jahnke, T. Weber, A. Staudte, M. Schöffler, N. Neumann, J. Titze, L. P. H. Schmidt, A. Czasch, O. Jagutzki, R. A. C. Fraga, R. E. Grisenti, R. D. Muiño, N. A. Cherepkov, S. K. Semenov, P. Ranitovic, C. L. Cocke, T. Osipov, H. Adaniya, J. C. Thompson, M. H. Prior, A. Belkacem, A. L. Landers, H. Schmidt-Böcking and R. Dörner, The simplest double slit: Interference and entanglement in double photoionization of hydrogen, Science, 318, 949-952 (2007).
14. J. H. William F. Smith, Foundations of materials science and engineering, 5e ed. (WILEY, 2017/03).
15. H. Fritzsche, Electrical properties of germanium semiconductors at low temperatures, Physical Review, 99, 406-419 (1955).
16. R. Chen, H. Kim, P. C. McIntyre and S. F. Bent, Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-κ gate dielectrics, Applied Physics Letters, 84, 4017 (2004).
17. G. S. M. Simon Sze, Fundamentals of semiconductor fabrication, third ed. (National Chiao Tung University Press, 2020).
18. O. Ueda, Reliability issues in III–V compound semiconductor devices: optical devices and GaAs-based HBTs, Microelectronics Reliability, 39, 1839-1855 (1999).
19. J. C. Slater, Band theory, Journal of Physics and Chemistry of Solids, 8, 21-25 (1959).
20. I. G. Kaplan, The Pauli exclusion principle and the problems of its experimental verification, Symmetry, 12, 320 (2020).
21. D. A. Neaman, Semiconductor physics and devices: basic principles, fourth ed. (McGraw-Hill, New York, 2012).
22. R. Pässler, Comparison of different analytical descriptions of the temperature dependence of the indirect energy gap in silicon, Solid-State Electronics, 39, 1311-1319 (1996).
23. G. I. Epifanov, Solid State Physics, first ed. (Moscow, Russian, 1979).
24. T. Dietl, K. Sato, T. Fukushima, A. Bonanni, M. Jamet, A. Barski, S. Kuroda, M. Tanaka, P. N. Hai and H. Katayama-Yoshida, Spinodal nanodecomposition in semiconductors doped with transition metals, Reviews of Modern Physics, 87, 1311-1377 (2015).
25. S. Agnoli and M. Favaro, Doping graphene with boron: a review of synthesis methods, physicochemical characterization, and emerging applications, Journal of Materials Chemistry A, 4, 5002-5025 (2016).
26. X. Zhao, F. Pan, H. Xu, M. Yaseen, H. Shan, C. A. E. Hauser, S. Zhang and J. R. Lu, Molecular self-assembly and applications of designer peptide amphiphiles, Chemical Society Reviews, 39, 3480-3498 (2010).
27. I. Willner, V. Heleg-Shabtai, R. Blonder, E. Katz, G. Tao, A. F. Bückmann and A. Heller, Electrical wiring of glucose oxidase by reconstitution of FAD-modified monolayers assembled onto Au-electrodes, Journal of the American Chemical Society, 118, 10321-10322 (1996).
28. K. Sirkar, A. Revzin and M. V. Pishko, Glucose and lactate biosensors based on redox polymer/oxidoreductase nanocomposite thin films, Analytical Chemistry, 72, 2930-2936 (2000).
29. K. Viswanathan, 2006, Synthesis and characterization of novel polymers for functional and stimuli responsive silicon surfaces.PhD Dissertation. Virginia Polytechnic Institute and State University.
30. C. Timmons and W. Zisman, Investigation of fatty acid monolayers on metals by contact potential measurements, The Journal of Physical Chemistry, 69, 984-990 (1965).
31. S. Lenfant, D. Guérin, F. Tran Van, C. Chevrot, S. Palacin, J.-P. Bourgoin, O. Bouloussa, F. Rondelez and D. Vuillaume, Electron transport through rectifying self-assembled monolayer diodes on silicon: Fermi-level pinning at the molecule− metal interface, The Journal of Physical Chemistry B, 110, 13947-13958 (2006).
32. R. G. Nuzzo and D. L. Allara, Adsorption of bifunctional organic disulfides on gold surfaces, Journal of the American Chemical Society, 105, 4481-4483 (1983).
33. D. A. Hutt and C. Liu, Oxidation protection of copper surfaces using self-assembled monolayers of octadecanethiol, Applied Surface Science, 252, 400 (2005).
34. M. Yuan, S. Zhan, X. Zhou, Y. Liu, L. Feng, Y. Lin, Z. Zhang and J. Hu, A method for removing self-assembled monolayers on gold, Langmuir, 24, 8707 (2008).
35. B. Bhushan, T. Kasai, G. Kulik, L. Barbieri and P. Hoffmann, AFM study of perfluoroalkylsilane and alkylsilane self-assembled monolayers for anti-stiction in MEMS/NEMS, Ultramicroscopy, 105, 176-188 (2005).
36. A. Ulman, Formation and structure of self-assembled monolayers, Chemical Reviews, 96, 1533 (1996).
37. S. Chen, R. Costil, F. K. Leung and B. L. Feringa, Self-assembly of photoresponsive molecular amphiphiles in aqueous media, Angewandte Chemie International Edition, 60, 11604-11627 (2021).
38. S. D. Techane, L. J. Gamble and D. G. Castner, Multi-technique characterization of self-assembled carboxylic acid terminated alkanethiol monolayers on nanoparticle and flat gold surfaces, Journal of Physics Chemical C Nanomater Interfaces, 115, 9432-9441 (2011).
39. E. L. Brightbill, H. F. Gezahagne, D. S. Jin, B. Brown and E. M. Vogel, Protein blocking inhibits ambient degradation of self-assembled monolayers for affinity biosensing, Applied Surface Science, 557, 149843 (2021).
40. H. Wang, S. Chen, L. Li and S. Jiang, Improved method for the preparation of carboxylic acid and amine terminated self-assembled monolayers of alkanethiolates, Langmuir, 21, 2633-2636 (2005).
41. F. Ali, C. Roldán-Carmona, M. Sohail and M. K. Nazeeruddin, Applications of self-assembled monolayers for perovskite solar cells interface engineering to address efficiency and stability, Advanced Energy Materials, 10, 2002989 (2020).
42. N. Wang, L. Cheng, J. Si, X. Liang, Y. Jin, J. Wang and W. Huang, Morphology control of perovskite light-emitting diodes by using amino acid self-assembled monolayers, Applied Physics Letters, 108, 141102 (2016).
43. W. T. Huck, L. Yan, A. Stroock, R. Haag and G. M. Whitesides, Patterned polymer multilayers as etch resists, Langmuir, 15, 6862-6867 (1999).
44. J. P. Quiñones, H. Peniche and C. Peniche, Chitosan based self-assembled nanoparticles in drug delivery, Polymers (Basel), 10 (2018).
45. P. Singla, M. Kaur, A. Kumari, L. Kumari, S. V. Pawar, R. Singh and D. B. Salunke, Facially amphiphilic cholic acid-lysine conjugates as promising antimicrobials, ACS Nano, 5, 3952-3963 (2020).
46. C. Prasittichai, K. L. Pickrahn, F. S. Minaye Hashemi, D. S. Bergsman and S. F. Bent, Improving area-selective molecular layer deposition by selective SAM removal, ACS Applied Materials & Interfaces 6, 17831 (2014).
47. N. Pratiwi, Zulhadjri, S. Arief and D. V. Wellia, A facile preparation of transparent ultrahydrophobic glass via TiO2/octadecyltrichlorosilane (ODTS) coatings for self-cleaning material, Chemistry Select, 5, 1450-1454 (2020).
48. Y. Chen, Z. Shu, S. Zhang, P. Zeng, H. Liang, M. Zheng and H. Duan, Sub-10 nm fabrication: methods and applications, International Journal of Extreme Manufacturing, 3, 032002 (2021).
49. M.-H. Lee and R. L. Peterson, Accelerated aging stability of β-Ga2O3–titanium/gold Ohmic interfaces, ACS Nano, 12, 46277-46287 (2020).
50. C. Han, Y. Yang, W. Liu, Y. Lu and J. Cheng, Experimental study of SiO2 sputter etching process in 13.56 mHz rf-biased inductively coupled plasma, Spin, 8, 1850002 (2018).
51. S. Samukawa, K. Sakamoto and K. Ichiki, Generating high-efficiency neutral beams by using negative ions in an inductively coupled plasma source, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 20, 1566-1573 (2002).
52. S. Lewis, H. Alty, M. Vockenhuber, G. DeRose, A. Fernandez Mato, D. Kazazis, P. Winpenny, R. Grindell, G. Timco, A. Scherer, Y. Ekinci and R. E. Winpenny, Sensitivity enhancement of a high-resolution negative-tone nonchemically amplified metal organic photoresist for extreme ultraviolet lithography, Journal of Micro/Nanopatterning, Materials, and Metrology, 21, 041404 (2022).
53. J. Bühler, F. Steiner and H. Baltes, Silicon dioxide sacrificial layer etching in surface micromachining, Journal of Micromechanics and Microengineering, 7, R1 (1997).
54. B. E. E. Kastenmeier, P. J. Matsuo and G. S. Oehrlein, Highly selective etching of silicon nitride over silicon and silicon dioxide, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 17, 3179-3184 (1999).
55. B. Zhou and W. Fred Ramirez, Kinetics and modeling of wet etching of aluminum oxide by warm phosphoric acid, Journal of The Electrochemical Society, 143, 619 (1996).
56. K. G. Sun, Y. V. Li, D. B. Saint John and T. N. Jackson, pH-controlled selective etching of Al2O3 Over ZnO, ACS Applied Materials & Interfaces 6, 7028 (2014).
57. R. M. Pinto, V. Gund, C. Calaza, K. Nagaraja and K. Vinayakumar, Piezoelectric aluminum nitride thin-films: A review of wet and dry etching techniques, Microelectronic Engineering, 257, 111753 (2022).
58. M. Sun, B. Yu, M. Hong, Z. Li, F. Lyu, X. Li, Z. Li, X. Wei, Z. Zhang, Y. Zhang and Q. Chen, Wet etching: Controlling the facet of ZnO during wet chemical etching its O-terminated surface, Small, 16, 2070076 (2020).
59. A. S. M. Z. Shifat, I. Stricklin, R. K. Chityala, A. Aryal, G. Esteves, A. Siddiqui and T. Busani, Vertical etching of scandium aluminum nitride thin films using TMAH solution, Nanomaterials, 13, 274 (2023).
60. F. Xin, T. Ma, Y. Chen and Q. Wang, Study on chemical spray etching of stainless steel for printed circuit heat exchanger channels, Nuclear Engineering and Design, 341, 91-99 (2019).
61. J. Coburn and H. F. Winters, Plasma-assisted etching in microfabrication, Annual Review of Materials Science, 13, 91-116 (1983).
62. G. S. Oehrlein and S. Hamaguchi, Foundations of low-temperature plasma enhanced materials synthesis and etching, Plasma Sources Science and Technology, 27, 023001 (2018).
63. W. Yang, H.-Y. Lee, W. Kim and D. Yoon, Asymmetry ridge structure fabrication and reactive ion etching of LiNbO3, Optical Materials, 27, 1642-1646 (2005).
64. S. McAuley, H. Ashraf, L. Atabo, A. Chambers, S. Hall, J. Hopkins and G. Nicholls, Silicon micromachining using a high-density plasma source, Journal of Physics d: Applied Physics, 34, 2769 (2001).
65. J. Bhardwaj, H. Ashraf and A. McQuarrie, Dry silicon etching for MEMS, ECS Proceedings, 97-5, 1-13 (1997).
66. S. Liu, S. Yin, Z. Zhang, L. Feng, Y. Liu and L. Zhang, Regulation of defects and nitrogen species on carbon nanotube by plasma-etching for peroxymonosulfate activation: Inducing non-radical/radical oxidation of organic contaminants, Journal of Hazardous Materials, 441, 129905 (2023).
67. H. Jansen, H. Gardeniers, M. de Boer, M. Elwenspoek and J. Fluitman, A survey on the reactive ion etching of silicon in microtechnology, Journal of Micromechanics and Microengineering, 6, 14 (1996).
68. S.-N. Hsiao, K. Nakane, T. Tsutsumi, K. Ishikawa, M. Sekine and M. Hori, Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma, Applied Surface Science, 542, 148550 (2021).
69. S. S. Kaler, 2017, Etching of silicon, silicon nitride, and atomic layer etching of silicon dioxide using inductively coupled plasma beams.PhD Dissertation.
70. F. Egitto, F. Emmi, R. Horwath and V. Vukanovic, Plasma etching of organic materials. I. Polyimide in O2–CF4, Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena, 3, 893-904 (1985).
71. W. Chen, Y. Liu, L. Yang, J. Wu, Q. Chen, Y. Zhao, Y. Wang and X. Du, Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si, Scientific Reports, 8, 3408 (2018).
72. T. Ide, M. Shimizu, A. Suzuki, X. Q. Shen, H. Okumura and T. Nemoto, AlN/GaN metal insulator semiconductor field effect transistor using wet chemical etching with hot phosphoric acid, Physica Status Solidi (a), 188, 351-354 (2001).
73. Y. Ju, H. Sato and H. Soyama, Fabrication of the tip of GaAs microwave probe by wet etching, International Electronic Packaging Technical Conference and Exhibition, 42002, 1919-1922 (2005).
74. S. M. George, A. W. Ott and J. W. Klaus, Surface chemistry for atomic layer growth, The Journal of Physical Chemistry, 100, 13121-13131 (1996).
75. H. B. Profijt, S. E. Potts, M. C. M. van de Sanden and W. M. M. Kessels, Plasma-assisted atomic layer deposition: basics, opportunities, and challenges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 29 (2011).
76. B.-E. Park, I.-K. Oh, C. Mahata, C. W. Lee, D. Thompson, W. J. Maeng and H. Kim, Atomic layer deposition of Y-stabilized ZrO2 for advanced DRAM capacitors, Journal of Alloys and Compounds, 722, 307-312 (2017).
77. T. Suntola, Atomic layer epitaxy, Materials Science Reports, 4, 261-312 (1989).
78. T. Suntola and J. Hyvarinen, Atomic layer epitaxy, Annual Review of Materials Science, 15, 177-195 (1985).
79. G. Parsons, J. Elam, S. M. George, S. Haukka, H. Jeon, W. M. M. Kessels, M. Leskelä, P. Poodt, M. Ritala and S. Rossnagel, History of atomic layer deposition and its relationship with the American Vacuum Society, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 31, 050818-050818 (2013).
80. J. Kim, K. Chakrabarti, J. Lee, K.-Y. Oh and C. Lee, Effects of ozone as an oxygen source on the properties of the Al2O3 thin films prepared by atomic layer deposition, Materials Chemistry and Physics, 78, 733-738 (2003).
81. M. Aguilar-Frutis, M. Garcia, C. Falcony, G. Plesch and S. Jimenez-Sandoval, A study of the dielectric characteristics of aluminum oxide thin films deposited by spray pyrolysis from Al(acac)3, Thin Solid Films, 389, 200 (2001).
82. N. P. Dasgupta, H.-B.-R. Lee, S. F. Bent and P. S. Weiss, Recent advances in atomic layer deposition, American Chemical Society, 28, 1943-1947 (2016).
83. J. Van Hemmen, S. Heil, J. Klootwijk, F. Roozeboom, C. Hodson, M. Van de Sanden and W. Kessels, Plasma and thermal ALD of Al2O3 in a commercial 200 mm ALD reactor, Journal of The Electrochemical Society, 154, G165 (2007).
84. K.-E. Elers, J. Winkler, K. Weeks and S. Marcus, TiCl4 as a precursor in the TiN deposition by ALD and PEALD, Journal of The Electrochemical Society, 152, G589 (2005).
85. H. Kim, C. C. Jr., C. Lavoie and S. M. Rossnagel, Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 20, 1321-1326 (2002).
86. S. M. Rossnagel, A. Sherman and F. Turner, Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 18, 2016-2020 (2000).
87. P.-C. Shen, Y. Lin, H. Wang, J.-H. Park, W. S. Leong, A.-Y. Lu, T. Palacios and J. Kong, CVD technology for 2-D materials, The Institute of Electrical and Electronics Engineers Transactions on Electron Devices, 65, 4040-4052 (2018).
88. J. Creighton and P. Ho, Introduction to chemical vapor deposition (CVD), Chemical Vapor Deposition, 2, 1-22 (2001).
89. W. S. Rees Jr, CVD of Nonmetals. (John Wiley & Sons, 2008).
90. B.-H. Liu, H. J. Huang, S.-H. Huang and C.-N. Hsiao, Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures, Thin Solid Films, 566, 93-98 (2014).
91. S. W. Lee, J. H. Han, S. Han, W. Lee, J. H. Jang, M. Seo, S. K. Kim, C. Dussarrat, J. Gatineau, Y.-S. Min and C. S. Hwang, Atomic Layer Deposition of SrTiO3 Thin Films with Highly Enhanced Growth Rate for Ultrahigh Density Capacitors, Chemistry of Materials, 23, 2227-2236 (2011).
92. D. H. Kim, H. J. Lee, H. Jeong, B. Shong, W.-H. Kim and T. J. Park, Thermal atomic layer deposition of device-quality SiO2 thin films under 100° C using an aminodisilane precursor, Chemistry of Materials, 31, 5502-5508 (2019).
93. L. Dobrzanski, M. Szindler and M. Szindler, Surface morphology and optical properties of Al2O3 thin films deposited by ALD method, Archives of Materials Science and Engineering, 73, 18-24 (2015).
94. S. M. George, Atomic layer deposition: An overview, Chemical Reviews, 110, 111-131 (2010).
95. D. Vogler and P. Doe, Where's the metal?(ALD Special Report), Solid State Technology, 46, 35-39 (2003).
96. I.-K. Oh, T. E. Sandoval, T.-L. Liu, N. E. Richey and S. F. Bent, Role of precursor choice on area-selective atomic layer deposition, Chemistry of Materials, 33, 3926-3935 (2021).
97. T.-L. Liu, L. Zeng, K. L. Nardi, D. M. Hausmann and S. F. Bent, Characterizing self-assembled monolayer breakdown in area-selective atomic layer deposition, Langmuir, 37, 11637-11645 (2021).
98. N. E. Richey, C. de Paula and S. F. Bent, Understanding chemical and physical mechanisms in atomic layer deposition, Journal of Chemical Physics, 152, 040902 (2020).
99. F. S. Minaye Hashemi, C. Prasittichai and S. F. Bent, Self-correcting process for high quality patterning by atomic layer deposition, ACS Nano, 9, 8710-8717 (2015).
100. J. D. Swalen, D. L. Allara, J. D. Andrade, E. A. Chandross, S. Garoff, J. Israelachvili, T. J. McCarthy, R. Murray, R. F. Pease and J. F. Rabolt, Molecular monolayers and films. A panel report for the materials sciences division of the department of energy, Langmuir, 3, 932 (1987).
101. A. J. M. Mackus, M. J. M. Merkx and W. M. M. Kessels, From the bottom-up: Toward area-selective atomic layer deposition with high selectivity, Chemistry of Materials, 31, 2-12 (2019).
102. M. Yan, Y. Koide, J. R. Babcock, P. R. Markworth, J. A. Belot, T. J. Marks and R. P. H. Chang, Selective-area atomic layer epitaxy growth of ZnO features on soft lithography-patterned substrates, Applied Physics Letters, 79, 1709-1711 (2001).
103. R. Chen, H. Kim, P. C. McIntyre and S. F. Bent, Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-κ gate dielectrics, Applied Physics Letters, 84, 4017-4019 (2004).
104. G. Bracco and B. Holst, Surface Science Techniques. (Springer Berlin Heidelberg, 2013).
105. R. W. Pohl, Discovery of interference by Thomas Young, American Journal of Physics, 28, 530-532 (1960).
106. H. Fujiwara, Spectroscopic Ellipsometry: Principles and Applications. (John Wiley & Sons, 2007).
107. A. S. Badami, 2007, Morphological and structure-property analyses of poly(arylene ether sulfone)-based random and multiblock copolymers for fuel cells.PhD Dissertation. Virginia Tech.
108. N. Jalili and K. Laxminarayana, A review of atomic force microscopy imaging systems: application to molecular metrology and biological sciences, Mechatronics, 14, 907-945 (2004).
109. E. Abdur-Rahman, I. Alghoraibi and H. Alkurdi, Effect of isopropyl alcohol concentration and etching time on wet chemical anisotropic etching of low-resistivity crystalline silicon wafer, International Journal of Analytical Chemistry, 2017, 7542870 (2017).
110. E. Vazsonyi, K. De Clercq, R. Einhaus, E. Van Kerschaver, K. Said, J. Poortmans, J. Szlufcik and J. Nijs, Improved anisotropic etching process for industrial texturing of silicon solar cells, Solar Energy Materials and Solar Cells, 57, 179-188 (1999).
111. I. Zubel and M. Kramkowska, The effect of isopropyl alcohol on etching rate and roughness of (100) Si surface etched in KOH and TMAH solutions, Sensors and Actuators A: Physical, 93, 138-147 (2001).

無法下載圖示 全文公開日期 2028/07/17 (校內網路)
全文公開日期 2028/07/17 (校外網路)
全文公開日期 2028/07/17 (國家圖書館:臺灣博碩士論文系統)
QR CODE