簡易檢索 / 詳目顯示

研究生: 呂文傑
WEN-CHIEH LU
論文名稱: 動態隨機存取記憶體電容元件圖形和製程設計
DRAM storage capacitor pattern and process design
指導教授: 張勝良
Sheng-Lyang Jang
口試委員: 張勝良
Sheng-Lyang Jang
吳乾彌
Chen-Mie Wu
莊敏宏
Miin-Horng Juang
鍾勇輝
Yung-Hui Chung
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2018
畢業學年度: 106
語文別: 英文
論文頁數: 99
中文關鍵詞: 動態隨機存取記憶體堆疊式記憶體電容元件
外文關鍵詞: Dynamic random access memory (DRAM), Stack DRAM, Storage Capacitor
相關次數: 點閱:210下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 動態隨機存取記憶體(DRAM)為現今眾多電子產品中不可或缺的重要零組件。其中記憶元件的基本架構是由一個電晶體元件做為開關使用和一個電容元件做為儲存電荷功能所組成。本文是從製程整合的觀點來討論電容元件的圖形結構和製程設計。在近代DRAM製程的歷史中,由於電容元件結構設計上的差異,分為溝槽(Deep Trench)技術和堆疊(Stack)技術。兩個技術的不同處在於溝槽技術為先製作電容,然後再製作電晶體。電容元件在矽晶圓表平面之下而電晶體則是在矽晶圓表平面之上。堆疊技術是先製作電晶體元件之後才製作電容元件。其電容元件是堆疊在電晶體元件上。電晶體的製程技術依世代的不同,目前的主流為將閘極通道(Gate-Channel)製作在矽晶圓表平面下以節省元件所佔的平面面積(Buried Word-line)。溝槽技術隨著德商奇夢達(Qimonda)於2009年初申請破產保護後,70奈米DRAM 成了該技術的最後一個有進入到正式量產之世代。堆疊式記憶體技術成了近年來唯一、並持續進行微縮的製程。
    文中涵蓋了堆疊式記憶體電容元件目前所使用的主要製程技術和多重圖形轉印技術並提出新的設計來增加電容值的同時也能提供良好的結構支撐。
    除了提出新的製程技術來增加電容值,本文也提出了新的設計來克服多重圖形轉印技術的圖形均勻性調整問題。此新設計使得製程工程師在使用多重圖形轉印技術時由原本至少要花費19片晶圓才能得到圖曝光的中心值,簡化成用1片晶圓就能收到19片晶圓的實驗結果。大大的減少了調整電容圖形所需花費的資源和降低因為晶圓和晶圓間差異所導致的數據誤判。


    Dynamic random access memory (DRAM) is a necessary and important component of various electronic products. The basic architecture of a DRAM device consists of a transistor element as a switch function device and a storage capacitor element as a charge storage device. This article discusses the structure and process design of the storage capacitor device in the DRAM field, from process integration point of view. In the history of contemporary DRAM process, due to structural design differences of storage capacitors, it is divided into two technologies: Deep-trench DRAM and Stack DRAM. The major difference between the two technologies is Deep-trench technology makes storage capacitor first then produces transistor later. Storage capacitor cells are sited below the plane of a silicon wafer and transistor is above a silicon wafer surface. On the other hand, in Stack DRAM technique, chip makers manufacture the storage capacitor after fabricating transistor device. So, Storage capacitor cells are stacked on transistor elements. Process technology of the transistor is different from generation to generation. Currently, the mainstream of DRAM transistor technology is to make the gate channel under the surface of a silicon wafer to save cell array area (Buried word-line). About Trench DRAM, after Qimonda filed for bankruptcy protection in early 2009, 70nm DRAM became the final massed production generation. Hence, Stack DRAM technology has become the only one DRAM technology.
    This thesis analyses the main process of Stacked-Capacitor also cover multiple patterning transfer technologies currently using, and proposes a new design to increase the capacitance value. The design can increase the capacitance of the storage node and provides better structural supporting than other DRAM manufacturers. This thesis also presents a novel design to overcome the problem of multiple patterning transfer technologies uniformity adjustment. Usually, process designers need a lot of wafers resource to obtain the best CD uniformity when they use the multiple patterning transfer techniques such as SADP or SARP. This new design with multiple patterning scheme can collect 19 kinds of CD uniformity onto a single wafer. The resource requirements for CD uniformity adjusting are significantly reduced. Also, the design saves a lot of learning time.

    中文摘要---Ⅰ 英文摘要---Ⅲ 誌  謝---Ⅴ 目  錄---Ⅵ 圖索引---Ⅶ 表索引---Ⅸ 1. Introduction---1 2. Storage capacitor pattern design---7 2.1 WL and BL pattern arrangement---9 2.2 Pattern design correlates to litho limitation---21 2.3 Multi-pattern to breakthrough tool limitation---26 2.4 Pattern design of storage capacitor---35 2.5 Sub-20nm challenges in storage patterning---43 3. Storage capacitor process design---50 3.1 High A/R dry etch---52 3.2 Double-sided electrode process design---53 3.3 Bottom / top electrode and dielectric design---58 3.4 Sub-20nm challenges in process design---63 4. DRAM timing parameters and operations---64 5. Correlation between CS value and process---71 6. Novel idea to increase CS---75 7. Conclusion---82 References---85

    [1]. Micron open source, “2G x 4 DDR4 Functional Block Diagrams” (https://www.micron.com/)
    [2]. TechInsights open source, “DRAM Technology/Products Roadmap” (http://www.techinsights.com/technology-intelligence/overview/technology-roadmaps/)
    [3]. Wookhyun Kwon, “Novel Technologies for Next Generation Memory”, 2005 Symposium on VLSI Technology and 2007 SSDM, Jul. 25, 2013
    [4]. Dick James, Senior Technology Analyst, Chipworks, “ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference- Recent advances in memory technology”, Page(s):386 -395, 2013
    [5]. Solid State Technology open source, “Winbond Adopts Qimonda’s Buried Wordline Technology – Metal Gates Come to DRAMs”, Jul. 22, 2010 (https://electroiq.com/chipworks_real_chips_blog/2010/07/22/winbond-adopts-qimondas-buried-wordline-technology-metal-gates-come-to-drams/)
    [6]. Young Choi “Under the Hood: DRAM architectures: 8F2 vs. 6F2”, Feb. 22, 2008
    [7]. TechInsights open source, “TECHNOLOGY ROADMAP of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron”, Oct. 2014
    [8]. Martin van den Brink, Executive Vice President Marketing & Technology, “The roadmap: Shrink will continue using Cost-Effective Lithography”, Nov. 23, 2013.
    [9]. Jeongdong Choe, “SK hynix’ 21 nm DRAM Cell Technology: Comparison of 1st and 2nd generation”, Jun. 05, 2017.
    [10]. Jemin Park et al, Samsung, “2015 IEDM : DRAMs Poised for 20nm and Below ”, Paper 26.5, 2015.
    [11]. Byoung-Ho et al, Samsung, “Method of forming self-aligned double pattern”, US20070148968A1, Nov. 26, 2005
    [12]. Ning Li et al, Applied Materials , “Self-Aligned Double Patterning With Spatial Atomic Layer Deposition”, US20150200110A1, Jan. 13, 2014
    [13]. J.R. Gaines, “Challenges for Non-Ideal Atomic Layer Deposition Processes and Systems”.
    (https://avem.org/press-releases/featured-articles/item/100-challenges-for-non-ideal-atomic-layer-deposition-processes-and-systems)
    [14]. UBA Asia Ltd. “Exploring Samsung 2x nm LPDDR3 DRAM" (https://archive.eetasia.com/www.eetasia.com/ART_8800697748_499486_TA_0f9c7f01_2.HTM), May. 05, 2014
    [15]. Arabinda Das, UBM TechInsights, “Hynix DRAM layout, process integration adapt to change”, Dec. 18, 2012
    [16]. Craig DeYoung, “Taiwan, Technology and Beyond Conference”, Mar. 15, 2011
    [17]. Nikon open source, “GLOBALFOUNDRIES Lithography Expert Delivers Keynote at LithoVision”, 2015
    (https://nikonereview.com/2015/globalfoundries-lithography-expert-delivers-keynote-at-lithovision/)
    [18]. Nikon open source, “Tokyo Electron Expert Presents Exciting View of Patterning in Sub-5 nm Era”, 2017
    (https://nikonereview.com/2017/tokyo-electron-expert-presents-exciting-view-of-patterning-in-sub-5-nm-era/)
    [19]. David Abercrombie, “Will EUV Kill Multi-Patterning?”, Jan. 19, 2017
    (https://semiengineering.com/will-euv-kill-multi-patterning/)
    [20]. Horng-Huei Tseng, TSMC, “Method of manufacturing a DRAM capacitor with increased electrode surface area”, US6277688B1, June. 30, 2000
    [21]. Chipworks inside technology, “DRAM Process Report, Sample Report-46nm DRAM process”
    [22]. Jason Jiang,“Kilopass Technologies ready to disrupt capacitor-based DRAM market”, Oct. 21, 2016

    無法下載圖示 全文公開日期 2023/07/25 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE