簡易檢索 / 詳目顯示

研究生: 楊佳玲
Jia-Ling Yang
論文名稱: 基於深度神經網絡的靜態電壓衰退預測
Static IR-drop Prediction Based on Deep Neural Network
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 林昌鴻
Chang-Hong Lin
林淵翔
Yuan-Hsiang Lin
劉一宇
Yi-Yu Liu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2019
畢業學年度: 107
語文別: 英文
論文頁數: 47
中文關鍵詞: 特徵提取電壓衰退機器學習深度神經網路晶片電力網路分 析
外文關鍵詞: Feature selection, IR drop, Machine learning, Neural network, Power/grid network analysis
相關次數: 點閱:212下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在晶片設計流程中,靜態電壓衰退 (IR-drop) 分析是極為重要且必定會執行的程序,它甚至可以在整個設計流程中會被重複執行多次,尤其是在工程改變命令 (Engineering change order , 簡稱ECO) 階段中的電壓衰退修正 (IR-drop fixing) 工作中這項分析會一直重複執行直到電壓衰退在可容忍範圍內。然而,隨著晶片電路規模的擴大,電壓衰退分析所需的執行時間呈指數增長,因此提高分析程序的效率成為一項重要的課題。在這篇論文中,我們提出了一種深度學習模型用來快速預測靜態電壓衰退。我們根據對電壓衰退的影響程度提取16種類型的特徵並以此訓練深度學習模型。這個模型實踐於新思科技 (Synopsys Inc.) 的 NDM資料庫中的三個電路上。我們的實驗結果表明,在預測精度方面表現良好,所有的實驗組之相關係數 (Correlation coefficient) 皆大於0.9,且回歸係數 (Regression coefficient) 偏差值皆小於0.1。另一方面,所需的執行時間比傳統分析工具少得多,因為在模型訓練之後所花費的預測時間幾乎是可以被忽略的。因此,利用論文中所提出的DL (Deep learning) 模型預測靜態電壓衰退可以在可容忍的誤差下顯著減少執行時間。


    Static IR-drop analysis is a necessary process in the design flow, however, it even may execute many times in the whole design flow, especially in iterations of the IR-drop fixing phase. The runtime is exponentially increasing with the larger scale of the circuit thus the time cost of analysis process needs to be improved. In this work, we proposed a deep learning model to predict static IR-drop rapidly. The proposed deep learning model is trained with 16 types of selected features which affect the IR-drop the most. The experiments are performed on three circuits in the Synopsys NDM database. Our experimental results show a good performance in the prediction accuracy which achieves that the correlation coefficients are greater than 0.9 and the deviation of regression coefficients are less than 0.1. On the other hand, the runtime is much less than the conventional tool since the prediction time is regardless after the model is trained. Thence, predicting static IR-drops with proposed DL-model can significantly reduce the runtime with a tolerable error.

    CHAPTER 1 INTRODUCTION CHAPTER 2 BACKGROUND CHAPTER 3 PROPOSED METHOD CHAPTER 4 SELECTED FEATURES CHAPTER 5 EXPERIMENTAL RESULTS CHAPTER 6 CONCLUSION REFERENCE

    [1] N. Andrianjafinandrasana, M. Gould, J.-C. Seguis, and P. Szerling, “Analysis of the Static Voltage Drop in the Power Distribution Network of a System-on-chip,” OCCAM Modelling Camp [Online]. Available: http://people.maths.ox.ac.uk/~gouldm/voltage.pdf
    [2] J.-L. Sanchez, “State of the art and trends in power integration,” Invited paper, Second International Conference on Modelling and Simulation of Microsystems, Semiconductors, Sensors and Actuators (MSM’99), San Juan (Porto Rico), April 1999, pp. 20–29.
    [3] J. Rius, “IR-Drop in On-Chip Power Distribution Networks of ICs With Nonuniform Power Consumption,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 3, March 2013, pp. 512-522.
    [4] O. Osahenvemwen and O. Omorogiuwa, “Parametric modeling of voltage drop in power distribution networks,” International Journal of Technical Research and Applications, vol. 3, no. 3, 2015.
    [5] S. Pant, D. Blaauw, E. Chiprout, “Power grid physics and implications for cad,” IEEE Design and Test of Computers 24, 2007, pp. 246–254.
    [6] R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Kose, E.G. Friedman, “Power Distribution Networks with On-Chip Decoupling Capacitors,” second ed., Springer, 2011.
    [7] Synopsys IR-drop analysis tool 2015.
    [8] Y. Zhong, M.D.F. Wong, “Fast algorithms for ir drop analysis in large power grid,” Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, November 2005, pp. 351–357.
    [9] Y. Zhong, M.D.F. Wong, “Efficient second-order iterative methods for ir drop analysis in power grid,” Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, January 2007, pp. 768–773.
    [10] A.B. Kahng, B. Liu, Q. Wang, “Stochastic power/ground supply voltage prediction and optimization via analytical placement,” IEEE Transactions on Very Large Scale Integration (VLSI) Circuits 15, 2007, pp. 904–912.
    [11] H. Qian, S.R. Nassif, S.S. Sapatnekar, “Random walks in a supply network,” Proceedings of the IEEE/ACM Design Automation Conference, June 2003, pp. 93–98.
    [12] J.N. Kozhaya, S.R. Nassif, F.N. Najm, “A multigrid-like technique for power grid analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 21, 2002, pp. 1148–1160.
    [13] D.E. Khalil, Y. Ismail, “Optimum sizing of power grids for ir drop,” Proceedings of the IEEE International Symposium on Circuits and Systems, May 2006, pp. 481–484.
    [14] E. Chiprout, “Fast flip-chip power grid analysis via locality and grid shells,” Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, November 2004, pp. 485–488.
    [15] A. Dharchoudhury, R. Panda, D. Blaauw, R. Vaidyanathan, B. Tutuianu and D. Bearden, “Design and analysis of power distribution networks in PowerPCTM microprocessors,” Proceedings of the ACM/IEEE Design Automation Conference, 1998, pp. 738-743.
    [16] Hang Li, Zhenyu Qi, S. X. D. Tan, Lifeng Wu, Yici Cai and Xianlong Hong, "Partitioning-based approach to fast on-chip decap budgeting and minimization," Proceedings. 42nd Design Automation Conference, 2005, Anaheim, CA, 2005, pp. 170-175.
    [17] P. Li, “Variational analysis of large power grids by exploring statistical sampling sharing and spatial locality,” Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, November 2005, pp. 645–651.
    [18] Z. Zeng, P. Li, “Locality-driven parallel power grid optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28, 2009, pp. 1190–1200.
    [19] B. Yan, S.X.-D. Tan, G. Chen, L. Wu, “Modeling and simulation for on-chip power grid networks by locally dominant Krylov subspace method,” Proceedings of the IEEE/ACM International Conference on Computer Aided Design, November 2008, pp. 744–749.
    [20] S. Kose and E. G. Friedman, “Efficient Algorithms for Fast IR Drop Analysis Exploiting Locality,” Integration, The VLSI Journal, Vol. 45, No. 2, March 2012, pp. 149–161.
    [21] C. Halford, “IR-Drop Analysis,” Advanced Layout Solutions Ltd, 2009, www.alspcb.com/pdfs/ IRDrop.pdf
    [22] I. Tuomi, “The lives and death of Moore's Law." First Monday (peer-reviewed journal on the internet), Vol. 7, No. 11, November 2002.
    [23] W. S. McCulloch, and W. Pitts, "A logical calculus of the ideas immanent in nervous activity." The bulletin of mathematical biophysics 5.4, 1943, pp. 115-133.

    無法下載圖示 全文公開日期 2024/08/15 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE