簡易檢索 / 詳目顯示

研究生: 張庭維
Ting-Wei Chang
論文名稱: 適用於網狀晶片內路由器之功能測試
Functional Testing for Routers in Mesh-based NoCs
指導教授: 呂學坤
Shyue-Kung Lu
口試委員: 郭斯彥
Sy-Yen Kuo
陳俊良
Jiann-Liang Chen
林寬仁
Kuan-Jen Lin
許鈞瓏
Chun-Lung Hsu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2011
畢業學年度: 99
語文別: 英文
論文頁數: 57
中文關鍵詞: 晶片網路功能性測試可測試性設計
外文關鍵詞: NoC, Network-On-Chip, Functional Testing, Design-for-Testability
相關次數: 點閱:294下載:3
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 現今,因資料密集性的應用,有著越來越多的矽智財被整合至SoC設計中。然而,傳統匯流排架構可能無法提供足夠的頻寬、延遲時間以及平行性。晶片網路源自於大型電腦網路的概念,是種新興的設計範式。其提供高頻寬、低延遲時間、彈性的可擴展性以及平行計算。晶片網路卻也為測試帶來新的挑戰。為了克服貧乏的控制性以及觀測性問題,文中提出適用於網狀晶片內路由器之功能性測試策略。其包含IP路徑測試,直線路徑測試以及轉向路徑測試。提議的測試策略對於FIFOs以及每個路由器中二十種路徑功能,可達到100% 單一錯誤涵蓋率。透過提議的測試配置,可以被判斷錯誤的位置。文中實現了其它可測試性技術做為比較。結果顯示提議的策略只需4%硬體成本並且只需要2種測試資料來測試錯誤。測試時間較其他測試方式短。與不同的功能性測試技術的比較也呈現文中。雖然,提議的功能測試技術是依照於路由架構而提出,依然可以做為評估。提議的測試策略達到100%錯誤涵蓋率,高於其他功能性測試方法。


    Today, there are more and more IP cores integrated into SoC for data-intensive applications. However, traditional bus-based architecture may not provide enough bandwidth, latency, and parallelism. Network-on-Chips are emerging design paradigms derived from large computer network. They provide high bandwidth, low latency, flexible scalability and parallel computing. But they also bring new challenges for testing. In order to overcome the problem of poor controllability and observability of NoCs, we proposed the functional test strategies for routers in mesh-based NoCs. They are the testing of IP paths, straight paths, and turning paths. Proposed test strategies can target 100% SAFs for FIFO and twenty routing functions of each router. By proposed test configurations, the location of faults also can be distinguished. We also implement other methods for comparison. The results shows that the proposed strategies only take 4% hardware overhead and two test patterns to target the faults. The test time is also shorter than other methods. The comparisons of different functional testing are also shown. Although the functional testing depends on the router architectures, the comparisons are still provided for evaluation. The proposed test strategies can target 100% fault coverage much higher than other functional test method.

    摘要 ••••••••••••••••••••••••••••••• i Abstract •••••••••••••••••••••••••••••• ii Contents ••••••••••••••••••••••••••••••iii List of Figures•••••••••••••••••••••••••••• v List of Tables•••••••••••••••••••••••••••• vii 1 Introduction ••••••••••••••••••••••••••••1 1.1 Motivation and Background •••••••••••••••••••••1 1.2 Organization •••••••••••••••••••••••••••5 2 NoC Fundamentals ••••••••••••••••••••••••• 6 2.1 Mesh-based NoC Topology ••••••••••••••••••••• 6 2.2 Architecture of the Router ••••••••••••••••••••••8 2.3 Packet Format •••••••• •••••••••••••••••• 11 3 Design-for-Testability Techniques for NoCs ••••••••••••••• 16 3.1 Full-Scan Method ••••••••••••••••••••••••• 17 3.2 Broadcasting Strategy ••••••••••••••••••••••• 19 3.3 Surrounding Test Ring (STR) •••••••••••••••••••••22 3.4 External Test ••••••••••••••••••••••••••• 25 4 Functional Fault Models ••••••••••••••••••••••• 27 4.1 Functional Model for Routers •••••••••••••••••••••27 4.2 Functional Fault Model for MUXs ••••••••••••••••••• 30 4.3 Functional Fault Model for FIFOs ••••••••••••••••••• 31 4.4 Fault Model for Routers •••••••••••••••••••••••34 5 Functional Test Strategies for NoCs ••••••••••••••••••• 36 5.1 DfT Structures for the proposed test strategies •••••••••••••• 37 5.2 Test Configurations •••••••••••••••••••••••• 39 5.3 Testing of IP Paths •••••••••••••••••••••••• 41 5.4 Testing of Straight Paths •••••••••••••••••••••• 44 5.5 Testing of Turning Paths •••••••••••••••••••••• 47 6 Experimental Results •••••••••••••••••••••••• 51 6.1 Hardware Overhead and Test Time•••••••••••••••••• 51 6.2 Fault Coverage ••••••••••••••••••••••••• 53 7 Conclusions and Future Works •••••••••••••••••••• 54 7.1 Conclusions ••••••••••••••••••••••••••• 54 7.2 Future Works •••••••••••••••••••••••••• 55 References ••••••••••••••••••••••••••••• 56

    [1] S. Kumar, et al., "A network on chip architecture and design methodology," Proceedings of ISVLSI, pp. 105-112, April 2002.
    [2] T. Bjerregaard and S. Mahadevan, "A survey of research and practices of Network-on-chip," ACM Computing Survey, vol. 38, pp. 1, June 2006.
    [3] K. Lahiri, A. Raghunathan, and S. Dey, “System-Level Performance Analysis for Designing On-Chip Communication Architectures,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 6, pp. 768-783, June 2001.
    [4] C. Grecu, P. P. Pande, B. Wang, A. Ivanov, and R. Saleh "Methodologies and algorithms for testing switch-based NoC interconnects," in Proc. Int. Symp. Defect Fault Tolerance VLSI Syst., p. 238, Oct. 2005.
    [5] W. J. Dally and B. Towles. "Route packets, not wires: On-chip interconnection networks," in Proc. 38th Design Automation Conf. (DAC'01), pp. 684–689, June 2001.
    [6] D. Bertozzi, et al., "Xpipes: A network-on-chip architecture for gigascale system-on-chip," IEEE Circuits Syst. Mag., vol. 4, no. 2, pp. 18 - 31, 2004.
    [7] P. P. Pande, C. Grecu, A. Ivanov, R. Saleh, and G. D. Micheli, “Design, synthesis and test of networks on chips,” IEEE Design and Test of Computers, vol. 22, no. 5, pp. 404–413, Aug. 2005.
    [8] E. Cota, et al., "Power-aware noc reuse on the testing of core-based systems," in Proc. Int’l Test Conf. (ITC 03), vol. 1, pp. 612-621, Oct. 2003.
    [9] B. Vermeulen, J. Dielissen, K. Goossens, and C. Ciordas, "Bringing communication networks on a chip: test and verification implications," IEEE Communication Magazine, vol. 41, no. 9, pp. 74-81, Sept. 2003.
    [10] A. M. Amory, E. Briao, E. Cota, M. Lubaszewski, and F.G. Moraes, “A Scalable Test Strategy for Network-on-Chip Routers,” in Proc. IEEE Int’l Test Conf., pp.591-599, Nov. 2005.
    [11] J. Raik, V. Govind, and R. Ubar, “An External Test Approach for Network-on-a-Chip Switches,” in Proc. 15th Asian Test Symp., pp. 437-442, Nov. 2006.
    [12] J. Raik , R. Ubar , V. Govind, “Test Configurations for Diagnosing Faulty Links in NoC Switches,” in Proc. IEEE European Test Symp., pp. 29-34, May 2007
    [13] J. Raik, V. Govind, and R. Ubar, "Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips," IET Computers & Digital Techniques, vol. 3, no. 5, pp. 476-486, Sep. 2009.
    [14] S. Y. Lin, C.C. Hsu, A. Y. Wu, ”A Scalable Built-In Self-Test/Self-Diagnosis Architecture for 2D-mesh Based Chip Multiprocessor Systems,” in Proc. IEEE Int. Symp. on Circuits and Systems, pp. 2317-2320, May 2009.
    [15] S. R. Makar and E. J. McCluskey, "On the testing of multiplexers", in Proc. IEEE Int’l Test Conf., pp. 669-679, Sep. 1988.
    [16] A. J. van de Goor and Y. Zorian, “Functional Tests for Arbitration SRAM-Type FIFOs”, in Proc. IEEE Asian Test Symp., pp. 96-101, Nov. 1992.
    [17] A. J. van de Goor, I. Schanstra, Y. Zorian, "Functional test for shifting-type FIFOs," in Proc. ED&TC, pp. 133-138, Mar. 1995.

    QR CODE