簡易檢索 / 詳目顯示

研究生: 溫家甄
Chia-Chen Wen
論文名稱: 於叢集式三維積體電路佈局規劃後在導孔通道插入散熱導孔之技術
Cluster-Based Thermal-Aware 3D-Floorplanning Technique with Post-Floorplan TTSV Insertion at Via-Channels
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 吳晉賢
Chin-Hsien Wu
許孟超
Mon-Chau Shie
蔡坤霖
Kun-Lin Tsai
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2012
畢業學年度: 100
語文別: 英文
論文頁數: 45
中文關鍵詞: 三維積體電路佈局規劃散熱導孔熱點熱模型
外文關鍵詞: 3D floorplanning, thermal via, hot spot, heat model
相關次數: 點閱:194下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在三維積體電路的架構中,散熱問題強烈影響設計的可靠度。當晶片在運作的時候,晶片的三維結構導致高溫,並且阻礙散熱。
    在本篇論文中,我們提出一個以面積、線長及功率密度為考量的叢集式三維積體電路佈局規劃,並且建構出精確的熱傳導模型來運算佈局規劃的溫度。經過溫度分布的分析計算後,散熱導孔將被放置在我們稱為熱導孔通道的預留區域內。熱導孔插入的運作程序將持續重複至最高峰溫度降至指定溫度。實驗結果顯示,我們所提出以精確的熱傳導模型來運算的實驗架構,可以有效率的降低熱點上的峰溫。


    In 3D-IC architecture, thermal issues largely affect design reliability. The three-dimensional structure impedes heat dissipation and leads to high temperature when designs in execution. In this thesis, we propose a cluster-based 3D-floorplanning approach to place modules based on the factors of area, wire-length, and power density. Then we construct a precise thermal conduction model to compute temperature distribution in terms of the resultant floorplan. The thermal-vias will be placed at some reserved regions, called via-channels, by analytical computation based on temperature distribution. The thermal-via insertion procedure will repeat until the peak temperature is acceptable. The experiment results show that our framework is able to effectively reduce the peak temperature in hot-spots based on a precise temperature computation model.

    1 Introduction 1 1.1 Observation and Motivation 1 1.2 Major Contribution 3 2 Background and Problem Formulation 5 2.1 Background 5 2.1.1 Floorplanning Techniques 5 2.1.2 Simulated Annealing Techniques 7 2.2 Problem Formulation and the Flow of Algorithm 11 3 Methods and Analyses 13 3.1 The Clustering Technique in the 3D-Floorplanning Stage 13 3.2 Two-Dimension Solid Heat Conduction Models 18 3.2.1 Heat Model 18 3.2.2 Temperature Calculation 19 3.3 The Analytic Method of Thermal Via Insertion 26 3.3.1 Via-Insertion Stage 26 3.3.2 Via-Deletion Stage 32 4 Experiment Results 35 5 Conclusions 41 Bibliography 42

    [1] B. Goplen and S. Sapatnekar, “Placement of 3d ics with thermal and interlayer via considerations,” in Design Automation Conference, 2007. DAC ’07. 44th ACM/IEEE, june 2007, pp. 626 –631.
    [2] J. Cong, J. Wei, and Y. Zhang, “A thermal-driven floorplanning algorithm for 3d ics,” in Computer Aided Design, 2004. ICCAD-2004. IEEE/ACM International Conference on, nov. 2004, pp. 306 – 313.
    [3] J. Cong and Y. Zhang, “Thermal-driven multilevel routing for 3d ics,” in Design Automation Conference, 2005. Proceedings of the ASP-DAC 2005. Asia and South Pacific, vol. 1, jan. 2005, pp. 121 – 126 Vol. 1.
    [4] T. Zhang, Y. Zhan, and S. Sapatnekar, “Temperature-aware routing in 3d ics,” in Design Automation, 2006. Asia and South Pacific Conference on, jan. 2006, p. 6 pp.
    [5] L. Xiao, S. Sinha, J. Xu, and E. Young, “Fixed-outline thermal-aware 3d floorplanning,” in Design Automation Conference (ASP-DAC), 2010 15th Asia and South Pacific, jan. 2010, pp. 561 –567.
    [6] J.-T. Yan, Y.-C. Chang, and Z.-W. Chen, “Thermal via planning for temperature reduction in 3d ics,” in SOC Conference (SOCC), 2010 IEEE International, sept. 2010, pp. 392 –395.
    [7] J. Lau and T. Yue, “Thermal management of 3d ic integration with tsv (through silicon via),” in Electronic Components and Technology Conference, 2009. ECTC 2009. 59th, may 2009, pp. 635 –640.
    [8] M.-K. Hsu, Y.-W. Chang, and V. Balabanov, “Tsv-aware analytical placement for 3d ic designs,” in Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, june 2011, pp. 664 –669.
    [9] J. Cong, G. Luo, J. Wei, and Y. Zhang, “Thermal-aware 3d ic placement via transformation,” in Design Automation Conference, 2007. ASP-DAC ’07. Asia and South Pacific, jan. 2007, pp. 780 –785.
    [10] V. Nookala, D. Lilja, and S. Sapatnekar, “Temperature-aware floorplanning of microarchitecture blocks with ipc-power dependence modeling and transient analysis,” in Low Power Electronics and Design, 2006. ISLPED’06. Proceedings of the 2006 International Symposium on, oct. 2006, pp. 298 –303.
    [11] J. Cong and Y. Zhang, “Thermal via planning for 3-d ics,” in Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on, nov. 2005, pp. 745 –752.
    [12] X. Li, Y. Ma, and X. Hong, “A novel thermal optimization flow using incremental floorplanning for 3d ics,” in Design Automation Conference, 2009. ASP-DAC 2009. Asia and South Pacific, jan. 2009, pp. 347 –352.
    [13] Z. Li, X. Hong, Q. Zhou, S. Zeng, J. Bian, W. Yu, H. Yang, V. Pitchumani, and C.-K. Cheng, “Efficient thermal via planning approach and its application in 3-d floorplanning,” vol. 26, no. 4, april 2007, pp. 645 –658.
    [14] A. Jain, R. Jones, R. Chatterjee, S. Pozder, and Z. Huang, “Thermal modeling and design of 3d integrated circuits,” in Thermal and Thermomechanical Phenomena in Electronic Systems, 2008. ITHERM 2008. 11th Intersociety Conference on, may 2008, pp. 1139 –1145.
    [15] D. F. Wong and C. L. Liu, “A new algorithm for floorplan design,” in Proceedings of the 23rd ACM/IEEE Design Automation Conference, ser. DAC
    ’86. Piscataway, NJ, USA: IEEE Press, 1986, pp. 101–107. [Online]. Available: http://dl.acm.org/citation.cfm?id=318013.318030
    [16] H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, “Rectangle-packingbased module placement,” in Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, ser. ICCAD ’95. Washington, DC, USA: IEEE Computer Society, 1995, pp. 472–479. [Online]. Available: http://dl.acm.org/citation.cfm?id=224841.225094
    [17] S. Nakatake, K. Fujiyoshi, H. Murata, and Y. Kajitani, “Module placement on bsgstructure and ic layout applications,” in Computer-Aided Design, 1996. ICCAD-96. Digest of Technical Papers., 1996 IEEE/ACM International Conference on, nov 1996, pp. 484 –491.
    [18] P.-N. Guo, C.-K. Cheng, and T. Yoshimura, “An o-tree representation of non-slicing floorplan and its applications,” in Proceedings of the 36th annual ACM/IEEE Design Automation Conference, ser. DAC ’99. New York, NY, USA: ACM, 1999, pp. 268–273. [Online]. Available: http://doi.acm.org/10.1145/309847.309928
    [19] Y.-C. Chang, Y.-W. Chang, G.-M. Wu, and S.-W. Wu, “B*-trees: a new representation for non-slicing floorplans,” in Design Automation Conference, 2000. Proceedings 2000. 37th, 2000, pp. 458 –463.
    [20] W.-L. Hung, G. Link, Y. Xie, N. Vijaykrishnan, and M. Irwin, “Interconnect and thermal-aware floorplanning for 3d microprocessors,” in Quality Electronic Design, 2006. ISQED ’06. 7th International Symposium on, march 2006, pp. 98–104.
    [21] S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi, “Optimization by simulated annealing.” F. Uni Oldenburg, Ed., vol. 220, no. 4598. American Association for the Advancement of Science, 1983, pp. 671–680. [Online]. Available: http://www.ncbi.nlm.nih.gov/pubmed/17813860
    [22] “http://vlsicad.cs.binghamton.edu/benchmarks.html.”

    QR CODE