簡易檢索 / 詳目顯示

研究生: Anifatul Faricha
Anifatul - Faricha
論文名稱: Diffraction Based Overlay Target Design for On-Product Overlay Measurement
Diffraction Based Overlay Target Design for On-Product Overlay Measurement
指導教授: Hung-Fei Kuo
Hung-Fei Kuo
口試委員: Chunliang.kuo
chunliang.kuo
Jau-Horng Chen
Jau-Horng Chen
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2016
畢業學年度: 104
語文別: 英文
論文頁數: 78
中文關鍵詞: 光罩圖案潛像(AerialImage)Artificialneuralnetwork(ANN)diffractionbasedoverlay(DBO)光學散射儀解析度增強技術(RET)側壁角度(SWA).
外文關鍵詞: Aerial image, Artificial neural network (ANN), diffraction based overlay (DBO), optical scatterometry, resolution enhancement technique (RET), sidewall angle (SWA).
相關次數: 點閱:648下載:4
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

  • To acquire the advanced-node technology, overlay becomes the key challenges for the nano-lithography in the semiconductor manufacturing. Overlay needs to evolve to accurately represent product device patterns for the control of overlay errors. The diffraction based overlay (DBO) is considered as the promising method, it is fast, non-destructive, stable, and also well-suited to being integrated with a 193-immersion lithography system for controlling the lithography process variations from die-to-die, wafer-to-wafer, and lot-to-lot. The DBO target is the candidate for the on-product overlay control since the DBO’s accuracy and resolution are beyond the diffraction limit. The calculation is more complicated and involved many sophisticated overlay target designs which should be robust against symmetry and asymmetry variation. Several resolution enhancement techniques (RET) such as sub-resolution assist feature (SRAF) and segmentation, are employed to meet the optimal overlay product. In this study, the segmented with SRAF model provided the sharpest aerial image contrast and the lowest critical (CD) error among other models. The feed-forward ANN model was integrated with the scatterometry to assess the resist CD profile in the DBO measurement. Based on the integrated ANN-scatterometry technique, the segmented with SRAF model had the closest result of resist CD profile to the device target among other models. The ANN-scatterometry technique, which was also used for predicting displacement offset of the DBO target with various SWAs, was successfully demonstrated. The numerical result of the minimum square error and the mean of residual in the flat region showed that the ANN model provided more effective displacement offset prediction than the linear and high order regression models, which implemented in the current scatterometry system.

    TABLE OF CONTENT ABSTRACT.......................................................................................................................................i ACKNOWLEDGMENT................................................................................................................iii TABLE OF CONTENT.................................................................................................................iv LIST OF FIGURE..........................................................................................................................vi LIST OF TABLE............................................................................................................................ix CHAPTER 1: INTRODUCTION 1.1 Background.......................................................................................................1 1.2 On-product Overlay Target Review..........................................................3 1.3 Motivation and Research Objectives.........................................................7 1.4 Thesis Organization........................................................................................7 CHAPTER 2: DIFFRACTION BASED OVERLAY MEASUREMENT 2.1 Introduction.......................................................................................................8 2.2 FDTD Lumerical for Grating Modeling...................................................9 2.3 Theory of DBO Measurements.................................................................10 2.4 DBO Target Design......................................................................................13 2.5 Summary..........................................................................................................15 CHAPTER 3: ARTIFICIAL NEURAL NETWORK FOR IMPROVING DBO ACCURACY 3.1 Introduction.....................................................................................................16 3.2 Overlay Target With Modeling of SWA................................................17 3.3 Feed-Forward ANN Model for DBO Estimation................................22 3.4 Comparison of ANN and Higher Order Model for DBO Measurement..................................................................................................27 3.5 Summary..........................................................................................................29 CHAPTER 4: DBO Target Design 4.1 Introduction.....................................................................................................31 4.2 The Optimal DBO Target Design..........................................................33 4.3 Aerial Image Analysis of DBO Target Design..................................36 4.4 ANN Model for On-product DBO Measurement..............................38 4.5 Comparison of ANN and Higher Order Model for DBO Target................................................................................................................49 4.6 Summary..........................................................................................................57 CHAPTER 5: CONCLUSION 5.1 Conclusion and Discussion........................................................................58 5.2 Contribution....................................................................................................59 5.3 Future Work....................................................................................................59 REFERENCES .............................................................................................................................60

    REFERENCES
    [1] Dana Klein, Eran Amit, Guy Cohen, Nuriel Amir, Michael Har-Tzvi, Chin-Chou Kevin Huang, Ramkumar Karur- Shanmugam, Bill Pierson, Cindy Kato, Hiroyuki Kurita, “Quality metric for accurate overlay control in <20nm nodes,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 8681, 2013.

    [2] Daniel Kandel, Vladimir Levinski, Noam Sapiens, Guy Cohen, Eran Amit, Dana Klein, Irina Vakshtein, “Overlay accuracy fundamentals ,” in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXVI, Vol. 8324, pp. 1-10, 2012.

    [3] Honggoo Lee, Byongseog Lee, Sangjun Han, Myoungsoo Kim, Wontaik Kwon, Sungki Park, DongSub Choi, Dohwa Lee, Sanghuck Jeon, Kangsan Lee, Roie Volkovich, Tal Itzkovich, Eitan Herzel , Mark Wagner, and Mohamed Elkodadi , “Overlay accuracy investigation for advanced memory device,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIX, Vol. 9424, pp. 1-7, 2015.

    [4] Gyoyeon Jo, Sunkeun Ji, Shinyoung Kim, Hyunwoo Kang, Minwoo Park, Sangwoo Kim, Jungchan Kim, Chanha Park, Hyunjo Yang, Kotaro Maruyama, Byungjun Park, “Enhacement of intrafield overlay using a design based metrology system,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 9778, 2016.

    [5] Henk-Jan H. Smilde, Martin Jak, Arie den Boef, Mark van Schijndel, Murat Bozkurt, Andreas Fuchs, Maurits van der Schaar, Steffen Meyer, Stephen Morgan, Jon Wu, Vincent Tsai, Frida Liang, Cathy Wang, Kaustuve Bhattacharyya, Guo-Tsai Huang, Chih-Ming Ke, Kai-Hsiung Chen, “Characterization and Metrology for Nanoelectronics”, in International Conference on Frontiers NIST, 2013.

    [6] Barak Bringoltz , Tal Marciano, Tal Yaziv, Yaron DeLeeuw, Dana Klein, Yoel Feler, Ido Adam, Evgeni Gurevich, Noga Sella, Ze'ev Lindenfeld, Tom Leviant, Lilach Saltoun, Eltsafon Ashwal, Dror Alumot, Yuval Lamhot, Xindong Gao, James Manka, Bryan Chen, Mark Wagner, “Accuracy in optical overlay metrology,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXX, Vol. 9778, pp. 1-9, 2016.

    [7] Honggoo Lee, Sangjun Han, Youngsik Kim, Myoungsoo Kim, Hoyoung Heo, Sanghuck Jeon, DongSub Choi, Jeremy Nabeth, Irina Brinster, Bill Pierson, John C. Robinson, “Device overlay method for high volume manufacturing ,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXX, Vol. 9778, pp. 1-6, 2016.

    [8] Henk-Jan H. Smilde , Arie den Boef , Michael Kubis, Martin Jak, Mark van Schijndel, Andreas Fuchs, Maurits van der Schaar, Steffen Meyer, Stephen Morgan, Jon Wu, Vincent Tsai, Cathy Wang, Kaustuve Bhattacharyya, Kai-Hsiung Chen, Guo-Tsai Huang, Chih-Ming Ke, Jacky Huang, “Evaluation of a novel ultra-small target technology supporting on-product overlay measurements,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXVI, Vol. 8324, pp. 1-8, 2012.

    [9] SeungHwa Oh, Jeongjin Lee, Seungyoon Lee, Chan Hwang, Gilheyun Choi, Ho-Kyu Kang, EunSeung Jung, “The effect of individually-induced processes on image-based overlay and diffraction-based overlay,” in Proc. Metrology, Inspection, and Process Control for Microlithography XXVIII, Vol. 9050, 2014.

    [10] Hwan J. Jeong, Silvio J. Rabello, Thomas Andre Casavant, “Dark Field Diffraction Based Overlay,” U.S. Patent 0278942 A1, October, 2013.

    [11] Sebastian Heidenreich, Mark-Alexander Henn, Hermann Gross, Bernd Bodermann and Markus Bär, “Alternative methods for uncertainty evaluation in EUV scatterometry,” in Proc. SPIE 8789, Modeling Aspects in Optical Metrology IV, Vol. 8789, pp. 1-8, 2013.

    [12] Prasad Dasari, Jie Li, Jiangtao Hu, Nigel Smith, Oleg Kritsun, “Diffraction Based Overlay Metrology for Double Patterning Technologies,” Nanofabrication Techniques and Applications, ISBN: 978-953-307-602-7, 2011.

    [13] Simon C. C. Hsu, Yuan Chi Pai, Charlie Chen, Chun Chi Yu, Henry Hsing, Hsing-Chien Wu, Kelly T. L. Kuo, Nuriel Amir, “Scatterometry or imaging overlay: a comparative study,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIX, Vol. 9424, pp. 1-10, 2015.

    [14] Prasad Dasari, Nigel Smith, Gary Goelzer, Zhuan Liu, Jie Li, Asher Tan, Chin Hwee Koh, “A comparison of advanced overlay technologies,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIV, Vol. 7638, pp. 1-9, 2010.

    [15] Michael Pike, Timothy Brunner, Bradley Morgenfeld, Nan Jing, Timothy Wiltshire, “Intrafield overlay correction for illumination-based distortion,” in J. Micro/Nanolith. MEMS MOEMS, vol. 15, no. 2, pp. 1-7, 2016.

    [16] Yoann Blancquaert, Christophe Dezauzier, Jerome Depre, Mohamed Miqyass, Jan Beltman, “Performance of ASML YieldStar µDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXVII, Vol. 8681, pp.1-13, 2013.

    [17] Philippe Leray1, Ming Mao1, Bart Baudemprez1, Nuriel Amir2, “Overlay metrology solutions in a triple patterning scheme,” in Proc. SPIE , Metrology, Inspection, and Process Control for Microlithography, Vol. 9424, pp. 1-8, 2015.

    [18] Fei Wang, Pengcheng Zhang, Wei Fang, Kevin Liu, Jack Jau, Lester Wang, Alex Wan, Stefan Hunsche, Sandip Halder, Philippe Leray, “Process window and defect monitoring using high-throughput e-beam inspection guided by computational hot spot detection,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXX, Vol. 9778, 2016.

    [19] Myungjun Lee, Mark D. Smith, Joonseuk Lee, Mirim Jung, Honggoo Lee, Youngsik Kim, Sangjun Han, Michael E. Adel, Kangsan Lee, Dohwa Lee, Dongsub Choi, Zephyr Liu, Tal Itzkovich, Vladimir Levinski, Ady Levy, “ Lithography aware overlay metrology target design method,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 9778, pp. 1-14, 2016.

    [20] Inna Tarshish-Shapir, Eitan Hajaj, Greg Gray, Jeffery Hodges, Jianming Zhou, Sarah Wu, Sam Moore, Guy Ben-Dov, Chen Dror, Ze'ev Lindenfeld, David Gready, Mark Ghinovker, Mike Adel, “Overlay metrology performance prediction fidelity: the factors enabling a successful target design cycle,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 9778, pp. 1-13, 2016.

    [21] Levinson, Harry J, “Lithography and mask challenges at the leading edge,” in Proc. SPIE, Photomask Technology, Vol. 9635, 2015.

    [22] Chin-Chou Kevin Huang, “Methodology for Overlay Mark Selection,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 7971, 2011.

    [23] Ham, Boo-Hyun, “A novel robust diffraction-based metrology concept for measurement and monitoring of critical layers in memory devices,” in Proc. SPIE, Advanced Lithography, International Society for Optics and Photonics, 2010.

    [24] Mike Adel, Daniel Kandel, Vladimir Levinski, Joel Seligson, Alex Kuniavsky, “ Diffraction order control in overlay metrology - A review of the roadmap options,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol. 6922, 2008.

    [25] Philippe Leray, Christiane Jehoul, Osamu Inoue, Yutaka Okagawa, “Hybrid overlay metrology with CDSEM in a BEOL patterning scheme,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIX, Vol. 9424, 2015.

    [26] Alok Vaid, Bin Bin Yan, Yun Tao Jiang, Mark Kelling, Carsten Hartig, John Allgair, Peter Ebersbach, Matthew Sendelbach, Narender Rana, Ahmad Katnani, Erin Mclellan, Chas Archie, Cornel Bozdog, Helen Kim, Michael Sendler, Susan Ng, Boris Sherman, Boaz Brill, Igor Turovets, Ronen Urensky, “A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXV, Vol. 7971, 2011.

    [27] Allen Taflove, Computational, Electromagnetics: The Finite-Difference Time-Domain Method, Boston: Artech House, 2005.

    [28] Shun-Der Wu and Elias N. Glytsis, “Computational Electromagnetics: The Finite-Difference Time-Domain Method,” in J. Opt. Society of America, Vol. 19, Issue 10, pp. 2018-2029, 2002.

    [29] M. Born, and E. Wolf, Principles of Optics, 7th ed., Cambridge University Press, 1999.

    [30] Joseph Thomas Verdeyen, Laser Electronics, 3rd ed., Prentice Hall,1995.

    [31] Yoann Blancquaert, and Christophe Dezauzier, “Diffraction based overlay and image based overlay on production flow for advanced technology node,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXVII, Vol. 8681, 2013.

    [32] Henk-Jan H. Smilde,Martin Jak, Arie den Boef, Mark van Schijndel, Murat Bozkurt, Andreas Fuchs, Maurits van der Schaar, Steffen Meyer, Stephen Morgan, Kaustuve Bhattacharyya, Guo-Tsai Huang, Chih-Ming Ke, Kai-Hsiung Chen, “Sub-nanometer in-die overlay metrology: measurement and simulation at the edge of finiteness,” in Proc. SPIE, Optical Measurement Systems for Industrial Inspection, Vol. 8788, 2013.

    [33] Yoann Blancquaert, Christophe Dezauzier, Jerome Depre, Mohamed Miqyass, Jan Beltman, “Performance of ASML YieldStar µDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXVII, Vol. 8681, pp.1-13, 2013.

    [34] Marcus Adrianus Van De Kerkhof, Maurits van der Schaar, Andreas Fuchs, Martyn John Coogans, “Apparatus and method of measuring a property of a substrate,” US Patent 8786825 B2, 2014.

    [35] Dmitriy L. Voronov, Leonid I. Goray, Tony Warwick, Valeriy V. Yashchuk, and Howard A. Padmore, “High-order multilayer coated blazed gratings for high resolution soft x-ray spectroscopy,” Opt. Express, vol.23, no.4, pp.4771-4790, 2015.

    [36] Wei Te Hsu, Yi Sha Ku, Hsiu Lan Pang, Deh Ming Shyu, “Method for designing two-dimensional array overlay target sets and method and system for measuring overlay errors using the same,” U.S.Patent 8250497 B2, 2012.

    [37] S. Razavi and B. A. Tolson, “A New Formulation for Feedforward Neural Networks,” IEEE Trans. Neural Networks, vol. 22, no. 10, pp. 1588-1598, Oct. 2011.

    [38] S. Haykin, Neural Networks : A Comprehensive Foundation, New Jersey, Prentice-Hall, 1999.

    [39] M. Fairbank, E. Alonso, “Efficient Calculation of the Gauss-Newton Approximation of the Hessian Matrix in Neural Networks,” Neural Computation, vol. 24, no. 3, pp. 607-610, March 2012.

    [40] K. Malllikarjuna, G. R. C. K. Sarma, M. V. Subramanyam and K. S. Prasad, “EBP based GK-LM method for neural network training,” in International Conference on Computer Research and Development (ICCRD), pp. 504-507, 2011.

    [41] Qibin Zhao, C. F. Caiafa, D. P. Mandic, Z. C. Chao, Y. Nagasaka, N. Fujii, Liqing Zhang, A. Cichocki, “Higher Order Partial Least Squares (HOPLS): A Generalized Multilinear Regression Method,” IEEE Transactions on Pattern Analysis & Machine Intelligence, vol.35, no. 7, pp. 1660-1673, 2013.

    [42] Young-Nam Kim, Jong-Sun Paek, Silvio Rabello, Sangbong Lee, Jiangtao Hu, Zhuan Liu, Yudong Hao, and William McGahan, “Device based in-chip critical dimension and overlay metrology,” in Opt. Express, Vol. 17, Issue 23, pp. 21336-21343, 2009.

    [43] Nigel P. Smith, Brennan L. Peterson, Gary R. Goelzer, “Size matters in overlay measurement,” in Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography, Vol.8324, 2012.

    [44] Bernd Schulz, Rolf Seltmann, Jens Busch, Fritjof Hempel, Eric Cotte, Benjamin Alles, "Meeting overlay requirements for future technology nodes with in-die overlay metrology," in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXI, Vol. 6518, 2007.

    [45] Henk-Jan H. Smilde, Richard J. F. van Haren, Willy van Buël, Lars H. D. Driessen, Jérôme Dépré, Jan Beltman, Florent Dettoni, Julien Ducoté, Christophe Dezauzier, Yoann Blancquaert, “Target design optimization for overlay scatterometry to improve on-product overlay,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIX, Vol. 9424, 2015.

    [46] Richard Quintanilha, “Lithographic Apparatus, Substrate and Device Manufacturing Method,” US Patent 20130271740 A1, 2013.

    [47] Buel Henricus Wilhelmus Maria Van, Johannes Marcus Maria BELTMAN, Xing Lan LIU, Hendrik Jan Hidde Smilde, Haren Richard Johannes Franciscus Van, “Optimization of target arrangement and associated target,” WO Patent 2015124397 A1, 2015.

    [48] Myungjun Lee, Mark D. Smith, Michael E. Adel, Chia-Hung Chen, Chin-Chang Huang, Hao-Lun Huang, Hsueh-Jen Tsai, I-Lin Wang, Jen-Chou Huang, Jo-Lan Chin, Kuo-Yao Chou, Yuan-Ku Lan, Hsien-Yen Lung, Jui-Chin Yang, Tal Itzkovich, Healthy Huang, Yaniv Abramovitz, Jinyan Song, Chen Dror, Harvey Cheng, Ady Levy, " Metrology target design (MTD) solution for diagonally orientated DRAM layer", in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXX, Vol. 9778, 2016.

    [49] Dongsub Choi, Bill Pierson, David Tien, James Manka, Dongsuk Park, “Focus Monitoring Method Using Asymmetry Embedded Imaging Target,” US Patent 20130336572 A1, 2013.

    [50] Q. Chen, Z. Mao, S. Yu and W. Wu, “Sub-resolution-assist-feature placement study to dense patterns in advanced lithography process,” in China Semiconductor Technology International Conference (CSTIC), 2016.

    [51] Young-Sik Kim, Young-Sun Hwang, Mi-Rim Jung, Ji-Hwan Yoo, Won-Taik Kwon, Kevin Ryan, Paul Tuffy, Youping Zhang, Sean Park, Nang-Lyeom Oh, Chris Park, Mir Shahrjerdy, Roy Werkman, Kyu-Tae Sun, Jin-Moo Byun,“Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXIX , Vol. 9424, 2015.

    [52] Chiew-seng Koay, Nelson Felix, Bassem Hamieh, Scott Halle, Chumeng Zheng, Stuart Sieg, “Assessments of image-based and scatterometry-based overlay targets,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography XXX, Vol. 9778, 2016.

    [53] Chris A. Mack, Fundamental Principles of Optical Lithography The Science of Microfabrication, Wiley, 2007

    [54] Kaustuve Bhattacharyya, Arie den Boef, Greet Storms, Joost van Heijst, Marc Noot, Kevin An, Noh-Kyoung Park, Se-Ra Jeon, Nang-Lyeom Oh, Elliott McNamara, Frank van de Mast, SeungHwa Oh, Seung Yoon Lee, Chan Hwang, Kuntack Lee, “A study of swing-curve physics in diffraction-based overlay,” in Proc. SPIE, Metrology, Inspection, and Process Control for Microlithography, Vol., 2016.

    [55] M. H. Kurtner, C. J. Nachtsheim, and J. Neter, Applied Linear Regression Models, 4th ed., McGraw-Hill/Irwin, Boston , 2004.

    QR CODE